xref: /XiangShan/src/main/scala/xiangshan/backend/rob/Rob.scala (revision 211d620b07edb797ba35b635d24fef4e7294bae2)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.backend.rob
18
19import org.chipsalliance.cde.config.Parameters
20import chisel3._
21import chisel3.util._
22import chisel3.experimental.BundleLiterals._
23import difftest._
24import freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp}
25import utility._
26import utils._
27import xiangshan._
28import xiangshan.backend.GPAMemEntry
29import xiangshan.backend.{BackendParams, RatToVecExcpMod, RegWriteFromRab, VecExcpInfo}
30import xiangshan.backend.Bundles.{DynInst, ExceptionInfo, ExuOutput}
31import xiangshan.backend.fu.{FuConfig, FuType}
32import xiangshan.frontend.FtqPtr
33import xiangshan.mem.{LqPtr, LsqEnqIO, SqPtr}
34import xiangshan.backend.Bundles.{DynInst, ExceptionInfo, ExuOutput}
35import xiangshan.backend.ctrlblock.{DebugLSIO, DebugLsInfo, LsTopdownInfo}
36import xiangshan.backend.fu.vector.Bundles.VType
37import xiangshan.backend.rename.SnapshotGenerator
38import yunsuan.VfaluType
39import xiangshan.backend.rob.RobBundles._
40import xiangshan.backend.trace._
41import chisel3.experimental.BundleLiterals._
42
43class Rob(params: BackendParams)(implicit p: Parameters) extends LazyModule with HasXSParameter {
44  override def shouldBeInlined: Boolean = false
45
46  lazy val module = new RobImp(this)(p, params)
47}
48
49class RobImp(override val wrapper: Rob)(implicit p: Parameters, params: BackendParams) extends LazyModuleImp(wrapper)
50  with HasXSParameter with HasCircularQueuePtrHelper with HasPerfEvents with HasCriticalErrors {
51
52  private val LduCnt = params.LduCnt
53  private val StaCnt = params.StaCnt
54  private val HyuCnt = params.HyuCnt
55
56  val io = IO(new Bundle() {
57    val hartId = Input(UInt(hartIdLen.W))
58    val redirect = Input(Valid(new Redirect))
59    val enq = new RobEnqIO
60    val flushOut = ValidIO(new Redirect)
61    val exception = ValidIO(new ExceptionInfo)
62    // exu + brq
63    val writeback: MixedVec[ValidIO[ExuOutput]] = Flipped(params.genWrite2CtrlBundles)
64    val exuWriteback: MixedVec[ValidIO[ExuOutput]] = Flipped(params.genWrite2CtrlBundles)
65    val writebackNums = Flipped(Vec(writeback.size - params.StdCnt, ValidIO(UInt(writeback.size.U.getWidth.W))))
66    val writebackNeedFlush = Input(Vec(params.allExuParams.filter(_.needExceptionGen).length, Bool()))
67    val commits = Output(new RobCommitIO)
68    val rabCommits = Output(new RabCommitIO)
69    val diffCommits = if (backendParams.basicDebugEn) Some(Output(new DiffCommitIO)) else None
70    val isVsetFlushPipe = Output(Bool())
71    val lsq = new RobLsqIO
72    val robDeqPtr = Output(new RobPtr)
73    val csr = new RobCSRIO
74    val snpt = Input(new SnapshotPort)
75    val robFull = Output(Bool())
76    val headNotReady = Output(Bool())
77    val cpu_halt = Output(Bool())
78    val wfi_enable = Input(Bool())
79    val toDecode = new Bundle {
80      val isResumeVType = Output(Bool())
81      val walkToArchVType = Output(Bool())
82      val walkVType = ValidIO(VType())
83      val commitVType = new Bundle {
84        val vtype = ValidIO(VType())
85        val hasVsetvl = Output(Bool())
86      }
87    }
88    val fromVecExcpMod = Input(new Bundle {
89      val busy = Bool()
90    })
91    val readGPAMemAddr = ValidIO(new Bundle {
92      val ftqPtr = new FtqPtr()
93      val ftqOffset = UInt(log2Up(PredictWidth).W)
94    })
95    val readGPAMemData = Input(new GPAMemEntry)
96    val vstartIsZero = Input(Bool())
97
98    val toVecExcpMod = Output(new Bundle {
99      val logicPhyRegMap = Vec(RabCommitWidth, ValidIO(new RegWriteFromRab))
100      val excpInfo = ValidIO(new VecExcpInfo)
101    })
102    val criticalError = Input(Bool())
103    val debug_ls = Flipped(new DebugLSIO)
104    val debugRobHead = Output(new DynInst)
105    val debugEnqLsq = Input(new LsqEnqIO)
106    val debugHeadLsIssue = Input(Bool())
107    val lsTopdownInfo = Vec(LduCnt + HyuCnt, Input(new LsTopdownInfo))
108    val debugTopDown = new Bundle {
109      val toCore = new RobCoreTopDownIO
110      val toDispatch = new RobDispatchTopDownIO
111      val robHeadLqIdx = Valid(new LqPtr)
112    }
113    val debugRolling = new RobDebugRollingIO
114  })
115
116  val exuWBs: Seq[ValidIO[ExuOutput]] = io.exuWriteback.filter(!_.bits.params.hasStdFu).toSeq
117  val stdWBs: Seq[ValidIO[ExuOutput]] = io.exuWriteback.filter(_.bits.params.hasStdFu).toSeq
118  val fflagsWBs = io.exuWriteback.filter(x => x.bits.fflags.nonEmpty).toSeq
119  val exceptionWBs = io.writeback.filter(x => x.bits.exceptionVec.nonEmpty).toSeq
120  val redirectWBs = io.writeback.filter(x => x.bits.redirect.nonEmpty).toSeq
121  val vxsatWBs = io.exuWriteback.filter(x => x.bits.vxsat.nonEmpty).toSeq
122  val branchWBs = io.exuWriteback.filter(_.bits.params.hasBrhFu).toSeq
123  val csrWBs = io.exuWriteback.filter(x => x.bits.params.hasCSR).toSeq
124
125  val numExuWbPorts = exuWBs.length
126  val numStdWbPorts = stdWBs.length
127  val bankAddrWidth = log2Up(CommitWidth)
128
129  println(s"Rob: size $RobSize, numExuWbPorts: $numExuWbPorts, numStdWbPorts: $numStdWbPorts, commitwidth: $CommitWidth")
130
131  val rab = Module(new RenameBuffer(RabSize))
132  val vtypeBuffer = Module(new VTypeBuffer(VTypeBufferSize))
133  val bankNum = 8
134  assert(RobSize % bankNum == 0, "RobSize % bankNum must be 0")
135  val robEntries = RegInit(VecInit.fill(RobSize)((new RobEntryBundle).Lit(_.valid -> false.B)))
136  // pointers
137  // For enqueue ptr, we don't duplicate it since only enqueue needs it.
138  val enqPtrVec = Wire(Vec(RenameWidth, new RobPtr))
139  val deqPtrVec = Wire(Vec(CommitWidth, new RobPtr))
140  val deqPtrVec_next = Wire(Vec(CommitWidth, Output(new RobPtr)))
141  val walkPtrVec = Reg(Vec(CommitWidth, new RobPtr))
142  val walkPtrTrue = Reg(new RobPtr)
143  val lastWalkPtr = Reg(new RobPtr)
144  val allowEnqueue = RegInit(true.B)
145  val vecExcpInfo = RegInit(ValidIO(new VecExcpInfo).Lit(
146    _.valid -> false.B,
147  ))
148
149  /**
150   * Enqueue (from dispatch)
151   */
152  // special cases
153  val hasBlockBackward = RegInit(false.B)
154  val hasWaitForward = RegInit(false.B)
155  val doingSvinval = RegInit(false.B)
156  val enqPtr = enqPtrVec(0)
157  val deqPtr = deqPtrVec(0)
158  val walkPtr = walkPtrVec(0)
159  val allocatePtrVec = VecInit((0 until RenameWidth).map(i => enqPtrVec(PopCount(io.enq.req.take(i).map(req => req.valid && req.bits.firstUop)))))
160  io.enq.canAccept := allowEnqueue && !hasBlockBackward && rab.io.canEnq && vtypeBuffer.io.canEnq && !io.fromVecExcpMod.busy
161  io.enq.resp := allocatePtrVec
162  val canEnqueue = VecInit(io.enq.req.map(req => req.valid && req.bits.firstUop && io.enq.canAccept))
163  val timer = GTimer()
164  // robEntries enqueue
165  for (i <- 0 until RobSize) {
166    val enqOH = VecInit(canEnqueue.zip(allocatePtrVec.map(_.value === i.U)).map(x => x._1 && x._2))
167    assert(PopCount(enqOH) < 2.U, s"robEntries$i enqOH is not one hot")
168    when(enqOH.asUInt.orR && !io.redirect.valid){
169      connectEnq(robEntries(i), Mux1H(enqOH, io.enq.req.map(_.bits)))
170    }
171  }
172  // robBanks0 include robidx : 0 8 16 24 32 ...
173  val robBanks = VecInit((0 until bankNum).map(i => VecInit(robEntries.zipWithIndex.filter(_._2 % bankNum == i).map(_._1))))
174  // each Bank has 20 Entries, read addr is one hot
175  // all banks use same raddr
176  val eachBankEntrieNum = robBanks(0).length
177  val robBanksRaddrThisLine = RegInit(1.U(eachBankEntrieNum.W))
178  val robBanksRaddrNextLine = Wire(UInt(eachBankEntrieNum.W))
179  robBanksRaddrThisLine := robBanksRaddrNextLine
180  val bankNumWidth = log2Up(bankNum)
181  val deqPtrWidth = deqPtr.value.getWidth
182  val robIdxThisLine = VecInit((0 until bankNum).map(i => Cat(deqPtr.value(deqPtrWidth - 1, bankNumWidth), i.U(bankNumWidth.W))))
183  val robIdxNextLine = VecInit((0 until bankNum).map(i => Cat(deqPtr.value(deqPtrWidth - 1, bankNumWidth) + 1.U, i.U(bankNumWidth.W))))
184  // robBanks read
185  val robBanksRdataThisLine = VecInit(robBanks.map{ case bank =>
186    Mux1H(robBanksRaddrThisLine, bank)
187  })
188  val robBanksRdataNextLine = VecInit(robBanks.map{ case bank =>
189    val shiftBank = bank.drop(1) :+ bank(0)
190    Mux1H(robBanksRaddrThisLine, shiftBank)
191  })
192  val robBanksRdataThisLineUpdate = Wire(Vec(CommitWidth, new RobEntryBundle))
193  val robBanksRdataNextLineUpdate = Wire(Vec(CommitWidth, new RobEntryBundle))
194  val commitValidThisLine = Wire(Vec(CommitWidth, Bool()))
195  val hasCommitted = RegInit(VecInit(Seq.fill(CommitWidth)(false.B)))
196  val donotNeedWalk = RegInit(VecInit(Seq.fill(CommitWidth)(false.B)))
197  val allCommitted = Wire(Bool())
198
199  when(allCommitted) {
200    hasCommitted := 0.U.asTypeOf(hasCommitted)
201  }.elsewhen(io.commits.isCommit){
202    for (i <- 0 until CommitWidth){
203      hasCommitted(i) := commitValidThisLine(i) || hasCommitted(i)
204    }
205  }
206  allCommitted := io.commits.isCommit && commitValidThisLine.last
207  val walkPtrHead = Wire(new RobPtr)
208  val changeBankAddrToDeqPtr = (walkPtrVec.head + CommitWidth.U) > lastWalkPtr
209  when(io.redirect.valid){
210    robBanksRaddrNextLine := UIntToOH(walkPtrHead.value(walkPtrHead.value.getWidth-1, bankAddrWidth))
211  }.elsewhen(allCommitted || io.commits.isWalk && !changeBankAddrToDeqPtr){
212    robBanksRaddrNextLine := Mux(robBanksRaddrThisLine.head(1) === 1.U, 1.U, robBanksRaddrThisLine << 1)
213  }.elsewhen(io.commits.isWalk && changeBankAddrToDeqPtr){
214    robBanksRaddrNextLine := UIntToOH(deqPtr.value(deqPtr.value.getWidth-1, bankAddrWidth))
215  }.otherwise(
216    robBanksRaddrNextLine := robBanksRaddrThisLine
217  )
218  val robDeqGroup = Reg(Vec(bankNum, new RobCommitEntryBundle))
219  val rawInfo = VecInit((0 until CommitWidth).map(i => robDeqGroup(deqPtrVec(i).value(bankAddrWidth-1, 0)))).toSeq
220  val commitInfo = VecInit((0 until CommitWidth).map(i => robDeqGroup(deqPtrVec(i).value(bankAddrWidth-1,0)))).toSeq
221  val walkInfo = VecInit((0 until CommitWidth).map(i => robDeqGroup(walkPtrVec(i).value(bankAddrWidth-1, 0)))).toSeq
222  for (i <- 0 until CommitWidth) {
223    connectCommitEntry(robDeqGroup(i), robBanksRdataThisLineUpdate(i))
224    when(allCommitted){
225      connectCommitEntry(robDeqGroup(i), robBanksRdataNextLineUpdate(i))
226    }
227  }
228
229  // In each robentry, the ftqIdx and ftqOffset belong to the first instruction that was compressed,
230  // That is Necessary when exceptions happen.
231  // Update the ftqOffset to correctly notify the frontend which instructions have been committed.
232  // Instructions in multiple Ftq entries compressed to one RobEntry do not occur.
233  for (i <- 0 until CommitWidth) {
234    val lastOffset = (rawInfo(i).traceBlockInPipe.iretire - (1.U << rawInfo(i).traceBlockInPipe.ilastsize.asUInt).asUInt) + rawInfo(i).ftqOffset
235    commitInfo(i).ftqOffset := lastOffset
236  }
237
238  // data for debug
239  // Warn: debug_* prefix should not exist in generated verilog.
240  val debug_microOp = DebugMem(RobSize, new DynInst)
241  val debug_exuData = Reg(Vec(RobSize, UInt(XLEN.W))) //for debug
242  val debug_exuDebug = Reg(Vec(RobSize, new DebugBundle)) //for debug
243  val debug_lsInfo = RegInit(VecInit(Seq.fill(RobSize)(DebugLsInfo.init)))
244  val debug_lsTopdownInfo = RegInit(VecInit(Seq.fill(RobSize)(LsTopdownInfo.init)))
245  val debug_lqIdxValid = RegInit(VecInit.fill(RobSize)(false.B))
246  val debug_lsIssued = RegInit(VecInit.fill(RobSize)(false.B))
247
248  val isEmpty = enqPtr === deqPtr
249  val snptEnq = io.enq.canAccept && io.enq.req.map(x => x.valid && x.bits.snapshot).reduce(_ || _)
250  val snapshotPtrVec = Wire(Vec(CommitWidth, new RobPtr))
251  snapshotPtrVec(0) := io.enq.req(0).bits.robIdx
252  for (i <- 1 until CommitWidth) {
253    snapshotPtrVec(i) := snapshotPtrVec(0) + i.U
254  }
255  val snapshots = SnapshotGenerator(snapshotPtrVec, snptEnq, io.snpt.snptDeq, io.redirect.valid, io.snpt.flushVec)
256  val debug_lsIssue = WireDefault(debug_lsIssued)
257  debug_lsIssue(deqPtr.value) := io.debugHeadLsIssue
258
259  /**
260   * states of Rob
261   */
262  val s_idle :: s_walk :: Nil = Enum(2)
263  val state = RegInit(s_idle)
264  val state_next = Wire(chiselTypeOf(state))
265
266  val tip_computing :: tip_stalled :: tip_walk :: tip_drained :: Nil = Enum(4)
267  val tip_state = WireInit(0.U(4.W))
268  when(!isEmpty) {  // One or more inst in ROB
269    when(state === s_walk || io.redirect.valid) {
270      tip_state := tip_walk
271    }.elsewhen(io.commits.isCommit && PopCount(io.commits.commitValid) =/= 0.U) {
272      tip_state := tip_computing
273    }.otherwise {
274      tip_state := tip_stalled
275    }
276  }.otherwise {
277    tip_state := tip_drained
278  }
279  class TipEntry()(implicit p: Parameters) extends XSBundle {
280    val state = UInt(4.W)
281    val commits = new RobCommitIO()      // info of commit
282    val redirect = Valid(new Redirect)   // info of redirect
283    val redirect_pc = UInt(VAddrBits.W)  // PC of the redirect uop
284    val debugLsInfo = new DebugLsInfo()
285  }
286  val tip_table = ChiselDB.createTable("Tip_" + p(XSCoreParamsKey).HartId.toString, new TipEntry)
287  val tip_data = Wire(new TipEntry())
288  tip_data.state := tip_state
289  tip_data.commits := io.commits
290  tip_data.redirect := io.redirect
291  tip_data.redirect_pc := debug_microOp(io.redirect.bits.robIdx.value).pc
292  tip_data.debugLsInfo := debug_lsInfo(io.commits.robIdx(0).value)
293  tip_table.log(tip_data, true.B, "", clock, reset)
294
295  val exceptionGen = Module(new ExceptionGen(params))
296  val exceptionDataRead = exceptionGen.io.state
297  val fflagsDataRead = Wire(Vec(CommitWidth, UInt(5.W)))
298  val vxsatDataRead = Wire(Vec(CommitWidth, Bool()))
299  io.robDeqPtr := deqPtr
300  io.debugRobHead := debug_microOp(deqPtr.value)
301
302  /**
303   * connection of [[rab]]
304   */
305  rab.io.redirect.valid := io.redirect.valid
306
307  rab.io.req.zip(io.enq.req).map { case (dest, src) =>
308    dest.bits := src.bits
309    dest.valid := src.valid && io.enq.canAccept
310  }
311
312  val walkDestSizeDeqGroup = RegInit(VecInit(Seq.fill(CommitWidth)(0.U(log2Up(MaxUopSize + 1).W))))
313  val realDestSizeSeq = VecInit(robDeqGroup.zip(hasCommitted).map{case (r, h) => Mux(h, 0.U, r.realDestSize)})
314  val walkDestSizeSeq = VecInit(robDeqGroup.zip(donotNeedWalk).map{case (r, d) => Mux(d, 0.U, r.realDestSize)})
315  val commitSizeSumSeq = VecInit((0 until CommitWidth).map(i => realDestSizeSeq.take(i + 1).reduce(_ +& _)))
316  val walkSizeSumSeq   = VecInit((0 until CommitWidth).map(i => walkDestSizeSeq.take(i + 1).reduce(_ +& _)))
317  val commitSizeSumCond = VecInit(commitValidThisLine.zip(hasCommitted).map{case (c,h) => (c || h) && io.commits.isCommit})
318  val walkSizeSumCond   = VecInit(io.commits.walkValid.zip(donotNeedWalk).map{case (w,d) => (w || d) && io.commits.isWalk})
319  val commitSizeSum = PriorityMuxDefault(commitSizeSumCond.reverse.zip(commitSizeSumSeq.reverse), 0.U)
320  val walkSizeSum   = PriorityMuxDefault(walkSizeSumCond.reverse.zip(walkSizeSumSeq.reverse), 0.U)
321
322  val deqVlsExceptionNeedCommit = RegInit(false.B)
323  val deqVlsExceptionCommitSize = RegInit(0.U(log2Up(MaxUopSize + 1).W))
324  val deqVlsCanCommit= RegInit(false.B)
325  rab.io.fromRob.commitSize := Mux(deqVlsExceptionNeedCommit, deqVlsExceptionCommitSize, commitSizeSum)
326  rab.io.fromRob.walkSize := walkSizeSum
327  rab.io.fromRob.vecLoadExcp.valid := RegNext(exceptionDataRead.valid && exceptionDataRead.bits.isVecLoad)
328  rab.io.fromRob.vecLoadExcp.bits.isStrided := RegEnable(exceptionDataRead.bits.isStrided, exceptionDataRead.valid)
329  rab.io.fromRob.vecLoadExcp.bits.isVlm := RegEnable(exceptionDataRead.bits.isVlm, exceptionDataRead.valid)
330  rab.io.snpt := io.snpt
331  rab.io.snpt.snptEnq := snptEnq
332
333  io.rabCommits := rab.io.commits
334  io.diffCommits.foreach(_ := rab.io.diffCommits.get)
335
336  /**
337   * connection of [[vtypeBuffer]]
338   */
339
340  vtypeBuffer.io.redirect.valid := io.redirect.valid
341
342  vtypeBuffer.io.req.zip(io.enq.req).map { case (sink, source) =>
343    sink.valid := source.valid && io.enq.canAccept
344    sink.bits := source.bits
345  }
346
347  private val commitIsVTypeVec = VecInit(io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => io.commits.isCommit && valid && info.isVset })
348  private val walkIsVTypeVec = VecInit(io.commits.walkValid.zip(walkInfo).map { case (valid, info) => io.commits.isWalk && valid && info.isVset })
349  vtypeBuffer.io.fromRob.commitSize := PopCount(commitIsVTypeVec)
350  vtypeBuffer.io.fromRob.walkSize := PopCount(walkIsVTypeVec)
351  vtypeBuffer.io.snpt := io.snpt
352  vtypeBuffer.io.snpt.snptEnq := snptEnq
353  io.toDecode.isResumeVType := vtypeBuffer.io.toDecode.isResumeVType
354  io.toDecode.walkToArchVType := vtypeBuffer.io.toDecode.walkToArchVType
355  io.toDecode.commitVType := vtypeBuffer.io.toDecode.commitVType
356  io.toDecode.walkVType := vtypeBuffer.io.toDecode.walkVType
357
358  // When blockBackward instruction leaves Rob (commit or walk), hasBlockBackward should be set to false.B
359  // To reduce registers usage, for hasBlockBackward cases, we allow enqueue after ROB is empty.
360  when(isEmpty) {
361    hasBlockBackward := false.B
362  }
363  // When any instruction commits, hasNoSpecExec should be set to false.B
364  when(io.commits.hasWalkInstr || io.commits.hasCommitInstr) {
365    hasWaitForward := false.B
366  }
367
368  // The wait-for-interrupt (WFI) instruction waits in the ROB until an interrupt might need servicing.
369  // io.csr.wfiEvent will be asserted if the WFI can resume execution, and we change the state to s_wfi_idle.
370  // It does not affect how interrupts are serviced. Note that WFI is noSpecExec and it does not trigger interrupts.
371  val hasWFI = RegInit(false.B)
372  io.cpu_halt := hasWFI
373  // WFI Timeout: 2^20 = 1M cycles
374  val wfi_cycles = RegInit(0.U(20.W))
375  when(hasWFI) {
376    wfi_cycles := wfi_cycles + 1.U
377  }.elsewhen(!hasWFI && RegNext(hasWFI)) {
378    wfi_cycles := 0.U
379  }
380  val wfi_timeout = wfi_cycles.andR
381  when(RegNext(RegNext(io.csr.wfiEvent)) || io.flushOut.valid || wfi_timeout) {
382    hasWFI := false.B
383  }
384
385  for (i <- 0 until RenameWidth) {
386    // we don't check whether io.redirect is valid here since redirect has higher priority
387    when(canEnqueue(i)) {
388      val enqUop = io.enq.req(i).bits
389      val enqIndex = allocatePtrVec(i).value
390      // store uop in data module and debug_microOp Vec
391      debug_microOp(enqIndex) := enqUop
392      debug_microOp(enqIndex).debugInfo.dispatchTime := timer
393      debug_microOp(enqIndex).debugInfo.enqRsTime := timer
394      debug_microOp(enqIndex).debugInfo.selectTime := timer
395      debug_microOp(enqIndex).debugInfo.issueTime := timer
396      debug_microOp(enqIndex).debugInfo.writebackTime := timer
397      debug_microOp(enqIndex).debugInfo.tlbFirstReqTime := timer
398      debug_microOp(enqIndex).debugInfo.tlbRespTime := timer
399      debug_lsInfo(enqIndex) := DebugLsInfo.init
400      debug_lsTopdownInfo(enqIndex) := LsTopdownInfo.init
401      debug_lqIdxValid(enqIndex) := false.B
402      debug_lsIssued(enqIndex) := false.B
403      when (enqUop.waitForward) {
404        hasWaitForward := true.B
405      }
406      val enqTriggerActionIsDebugMode = TriggerAction.isDmode(io.enq.req(i).bits.trigger)
407      val enqHasException = ExceptionNO.selectFrontend(enqUop.exceptionVec).asUInt.orR
408      // the begin instruction of Svinval enqs so mark doingSvinval as true to indicate this process
409      when(!enqTriggerActionIsDebugMode && !enqHasException && enqUop.isSvinvalBegin(enqUop.flushPipe)) {
410        doingSvinval := true.B
411      }
412      // the end instruction of Svinval enqs so clear doingSvinval
413      when(!enqTriggerActionIsDebugMode && !enqHasException && enqUop.isSvinvalEnd(enqUop.flushPipe)) {
414        doingSvinval := false.B
415      }
416      // when we are in the process of Svinval software code area , only Svinval.vma and end instruction of Svinval can appear
417      assert(!doingSvinval || (enqUop.isSvinval(enqUop.flushPipe) || enqUop.isSvinvalEnd(enqUop.flushPipe) || enqUop.isNotSvinval))
418      when(enqUop.isWFI && !enqHasException && !enqTriggerActionIsDebugMode) {
419        hasWFI := true.B
420      }
421
422      robEntries(enqIndex).mmio := false.B
423      robEntries(enqIndex).vls := enqUop.vlsInstr
424    }
425  }
426
427  for (i <- 0 until RenameWidth) {
428    val enqUop = io.enq.req(i)
429    when(enqUop.valid && enqUop.bits.blockBackward && io.enq.canAccept) {
430      hasBlockBackward := true.B
431    }
432  }
433
434  val dispatchNum = Mux(io.enq.canAccept, PopCount(io.enq.req.map(req => req.valid && req.bits.firstUop)), 0.U)
435  io.enq.isEmpty := RegNext(isEmpty && !VecInit(io.enq.req.map(_.valid)).asUInt.orR)
436
437  when(!io.wfi_enable) {
438    hasWFI := false.B
439  }
440  // sel vsetvl's flush position
441  val vs_idle :: vs_waitVinstr :: vs_waitFlush :: Nil = Enum(3)
442  val vsetvlState = RegInit(vs_idle)
443
444  val firstVInstrFtqPtr = RegInit(0.U.asTypeOf(new FtqPtr))
445  val firstVInstrFtqOffset = RegInit(0.U.asTypeOf(UInt(log2Up(PredictWidth).W)))
446  val firstVInstrRobIdx = RegInit(0.U.asTypeOf(new RobPtr))
447
448  val enq0 = io.enq.req(0)
449  val enq0IsVset = enq0.bits.isVset && enq0.bits.lastUop && canEnqueue(0)
450  val enq0IsVsetFlush = enq0IsVset && enq0.bits.flushPipe
451  val enqIsVInstrVec = io.enq.req.zip(canEnqueue).map { case (req, fire) => FuType.isVArith(req.bits.fuType) && fire }
452  // for vs_idle
453  val firstVInstrIdle = PriorityMux(enqIsVInstrVec.zip(io.enq.req).drop(1) :+ (true.B, 0.U.asTypeOf(io.enq.req(0).cloneType)))
454  // for vs_waitVinstr
455  val enqIsVInstrOrVset = (enqIsVInstrVec(0) || enq0IsVset) +: enqIsVInstrVec.drop(1)
456  val firstVInstrWait = PriorityMux(enqIsVInstrOrVset, io.enq.req)
457  when(vsetvlState === vs_idle) {
458    firstVInstrFtqPtr := firstVInstrIdle.bits.ftqPtr
459    firstVInstrFtqOffset := firstVInstrIdle.bits.ftqOffset
460    firstVInstrRobIdx := firstVInstrIdle.bits.robIdx
461  }.elsewhen(vsetvlState === vs_waitVinstr) {
462    when(Cat(enqIsVInstrOrVset).orR) {
463      firstVInstrFtqPtr := firstVInstrWait.bits.ftqPtr
464      firstVInstrFtqOffset := firstVInstrWait.bits.ftqOffset
465      firstVInstrRobIdx := firstVInstrWait.bits.robIdx
466    }
467  }
468
469  val hasVInstrAfterI = Cat(enqIsVInstrVec(0)).orR
470  when(vsetvlState === vs_idle && !io.redirect.valid) {
471    when(enq0IsVsetFlush) {
472      vsetvlState := Mux(hasVInstrAfterI, vs_waitFlush, vs_waitVinstr)
473    }
474  }.elsewhen(vsetvlState === vs_waitVinstr) {
475    when(io.redirect.valid) {
476      vsetvlState := vs_idle
477    }.elsewhen(Cat(enqIsVInstrOrVset).orR) {
478      vsetvlState := vs_waitFlush
479    }
480  }.elsewhen(vsetvlState === vs_waitFlush) {
481    when(io.redirect.valid) {
482      vsetvlState := vs_idle
483    }
484  }
485
486  // lqEnq
487  io.debugEnqLsq.needAlloc.map(_(0)).zip(io.debugEnqLsq.req).foreach { case (alloc, req) =>
488    when(io.debugEnqLsq.canAccept && alloc && req.valid) {
489      debug_microOp(req.bits.robIdx.value).lqIdx := req.bits.lqIdx
490      debug_lqIdxValid(req.bits.robIdx.value) := true.B
491    }
492  }
493
494  // lsIssue
495  when(io.debugHeadLsIssue) {
496    debug_lsIssued(deqPtr.value) := true.B
497  }
498
499  /**
500   * Writeback (from execution units)
501   */
502  for (wb <- exuWBs) {
503    when(wb.valid) {
504      val wbIdx = wb.bits.robIdx.value
505      debug_exuData(wbIdx) := wb.bits.data(0)
506      debug_exuDebug(wbIdx) := wb.bits.debug
507      debug_microOp(wbIdx).debugInfo.enqRsTime := wb.bits.debugInfo.enqRsTime
508      debug_microOp(wbIdx).debugInfo.selectTime := wb.bits.debugInfo.selectTime
509      debug_microOp(wbIdx).debugInfo.issueTime := wb.bits.debugInfo.issueTime
510      debug_microOp(wbIdx).debugInfo.writebackTime := wb.bits.debugInfo.writebackTime
511
512      // debug for lqidx and sqidx
513      debug_microOp(wbIdx).lqIdx := wb.bits.lqIdx.getOrElse(0.U.asTypeOf(new LqPtr))
514      debug_microOp(wbIdx).sqIdx := wb.bits.sqIdx.getOrElse(0.U.asTypeOf(new SqPtr))
515
516      val debug_Uop = debug_microOp(wbIdx)
517      XSInfo(true.B,
518        p"writebacked pc 0x${Hexadecimal(debug_Uop.pc)} wen ${debug_Uop.rfWen} " +
519          p"data 0x${Hexadecimal(wb.bits.data(0))} ldst ${debug_Uop.ldest} pdst ${debug_Uop.pdest} " +
520          p"skip ${wb.bits.debug.isMMIO} robIdx: ${wb.bits.robIdx}\n"
521      )
522    }
523  }
524
525  val writebackNum = PopCount(exuWBs.map(_.valid))
526  XSInfo(writebackNum =/= 0.U, "writebacked %d insts\n", writebackNum)
527
528  for (i <- 0 until LoadPipelineWidth) {
529    when(RegNext(io.lsq.mmio(i))) {
530      robEntries(RegEnable(io.lsq.uop(i).robIdx, io.lsq.mmio(i)).value).mmio := true.B
531    }
532  }
533
534
535  /**
536   * RedirectOut: Interrupt and Exceptions
537   */
538  val deqDispatchData = robEntries(deqPtr.value)
539  val debug_deqUop = debug_microOp(deqPtr.value)
540
541  val deqPtrEntry = robDeqGroup(deqPtr.value(bankAddrWidth-1,0))
542  val deqPtrEntryValid = deqPtrEntry.commit_v
543  val deqHasFlushed = RegInit(false.B)
544  val intrBitSetReg = RegNext(io.csr.intrBitSet)
545  val intrEnable = intrBitSetReg && !hasWaitForward && deqPtrEntry.interrupt_safe && !deqHasFlushed
546  val deqNeedFlush = deqPtrEntry.needFlush && deqPtrEntry.commit_v && deqPtrEntry.commit_w
547  val deqHitExceptionGenState = exceptionDataRead.valid && exceptionDataRead.bits.robIdx === deqPtr
548  val deqNeedFlushAndHitExceptionGenState = deqNeedFlush && deqHitExceptionGenState
549  val exceptionGenStateIsException = exceptionDataRead.bits.exceptionVec.asUInt.orR || exceptionDataRead.bits.singleStep || TriggerAction.isDmode(exceptionDataRead.bits.trigger)
550  val deqHasException = deqNeedFlushAndHitExceptionGenState && exceptionGenStateIsException
551  val deqHasFlushPipe = deqNeedFlushAndHitExceptionGenState && exceptionDataRead.bits.flushPipe && !deqHasException && (!deqPtrEntry.isVls || RegNext(RegNext(deqPtrEntry.commit_w)))
552  val deqHasReplayInst = deqNeedFlushAndHitExceptionGenState && exceptionDataRead.bits.replayInst
553  val deqIsVlsException = deqHasException && deqPtrEntry.isVls && !exceptionDataRead.bits.isEnqExcp
554  // delay 2 cycle wait exceptionGen out
555  // vls exception can be committed only when RAB commit all its reg pairs
556  deqVlsCanCommit := RegNext(RegNext(deqIsVlsException && deqPtrEntry.commit_w)) && rab.io.status.commitEnd
557
558  // lock at assertion of deqVlsExceptionNeedCommit until condition not assert
559  val deqVlsExcpLock = RegInit(false.B)
560  val handleVlsExcp = deqIsVlsException && deqVlsCanCommit && !deqVlsExcpLock && state === s_idle
561  when(handleVlsExcp) {
562    deqVlsExcpLock := true.B
563  }.elsewhen(deqPtrVec.head =/= deqPtrVec_next.head) {
564    deqVlsExcpLock := false.B
565  }
566
567  // Only assert once when deqVlsExcp occurs until condition not assert to avoid multi message passed to RAB
568  when (deqVlsExceptionNeedCommit) {
569    deqVlsExceptionNeedCommit := false.B
570  }.elsewhen(handleVlsExcp){
571    deqVlsExceptionCommitSize := deqPtrEntry.realDestSize
572    deqVlsExceptionNeedCommit := true.B
573  }
574
575  XSDebug(deqHasException && exceptionDataRead.bits.singleStep, "Debug Mode: Deq has singlestep exception\n")
576  XSDebug(deqHasException && TriggerAction.isDmode(exceptionDataRead.bits.trigger), "Debug Mode: Deq has trigger entry debug Mode\n")
577
578  val isFlushPipe = deqPtrEntry.commit_w && (deqHasFlushPipe || deqHasReplayInst)
579
580  val isVsetFlushPipe = deqPtrEntry.commit_w && deqHasFlushPipe && exceptionDataRead.bits.isVset
581  //  val needModifyFtqIdxOffset = isVsetFlushPipe && (vsetvlState === vs_waitFlush)
582  val needModifyFtqIdxOffset = false.B
583  io.isVsetFlushPipe := isVsetFlushPipe
584  // io.flushOut will trigger redirect at the next cycle.
585  // Block any redirect or commit at the next cycle.
586  val lastCycleFlush = RegNext(io.flushOut.valid)
587
588  io.flushOut.valid := (state === s_idle) && deqPtrEntryValid && (intrEnable || deqHasException && (!deqIsVlsException || deqVlsCanCommit) || isFlushPipe) && !lastCycleFlush
589  io.flushOut.bits := DontCare
590  io.flushOut.bits.isRVC := deqDispatchData.isRVC
591  io.flushOut.bits.robIdx := Mux(needModifyFtqIdxOffset, firstVInstrRobIdx, deqPtr)
592  io.flushOut.bits.ftqIdx := Mux(needModifyFtqIdxOffset, firstVInstrFtqPtr, deqDispatchData.ftqIdx)
593  io.flushOut.bits.ftqOffset := Mux(needModifyFtqIdxOffset, firstVInstrFtqOffset, deqDispatchData.ftqOffset)
594  io.flushOut.bits.level := Mux(deqHasReplayInst || intrEnable || deqHasException || needModifyFtqIdxOffset, RedirectLevel.flush, RedirectLevel.flushAfter) // TODO use this to implement "exception next"
595  io.flushOut.bits.interrupt := true.B
596  XSPerfAccumulate("interrupt_num", io.flushOut.valid && intrEnable)
597  XSPerfAccumulate("exception_num", io.flushOut.valid && deqHasException)
598  XSPerfAccumulate("flush_pipe_num", io.flushOut.valid && isFlushPipe)
599  XSPerfAccumulate("replay_inst_num", io.flushOut.valid && isFlushPipe && deqHasReplayInst)
600
601  val exceptionHappen = (state === s_idle) && deqPtrEntryValid && (intrEnable || deqHasException && (!deqIsVlsException || deqVlsCanCommit)) && !lastCycleFlush
602  io.exception.valid := RegNext(exceptionHappen)
603  io.exception.bits.pc := RegEnable(debug_deqUop.pc, exceptionHappen)
604  io.exception.bits.gpaddr := io.readGPAMemData.gpaddr
605  io.exception.bits.isForVSnonLeafPTE := io.readGPAMemData.isForVSnonLeafPTE
606  io.exception.bits.instr := RegEnable(debug_deqUop.instr, exceptionHappen)
607  io.exception.bits.commitType := RegEnable(deqDispatchData.commitType, exceptionHappen)
608  io.exception.bits.exceptionVec := RegEnable(exceptionDataRead.bits.exceptionVec, exceptionHappen)
609  // fetch trigger fire or execute ebreak
610  io.exception.bits.isPcBkpt := RegEnable(
611    exceptionDataRead.bits.exceptionVec(ExceptionNO.EX_BP) && (
612      exceptionDataRead.bits.isEnqExcp ||
613      exceptionDataRead.bits.trigger === TriggerAction.None
614    ),
615    exceptionHappen,
616  )
617  io.exception.bits.isFetchMalAddr := RegEnable(exceptionDataRead.bits.isFetchMalAddr && deqHasException, exceptionHappen)
618  io.exception.bits.singleStep := RegEnable(exceptionDataRead.bits.singleStep, exceptionHappen)
619  io.exception.bits.crossPageIPFFix := RegEnable(exceptionDataRead.bits.crossPageIPFFix, exceptionHappen)
620  io.exception.bits.isInterrupt := RegEnable(intrEnable, exceptionHappen)
621  io.exception.bits.isHls := RegEnable(deqDispatchData.isHls, exceptionHappen)
622  io.exception.bits.vls := RegEnable(robEntries(deqPtr.value).vls, exceptionHappen)
623  io.exception.bits.trigger := RegEnable(exceptionDataRead.bits.trigger, exceptionHappen)
624
625  // data will be one cycle after valid
626  io.readGPAMemAddr.valid := exceptionHappen
627  io.readGPAMemAddr.bits.ftqPtr := exceptionDataRead.bits.ftqPtr
628  io.readGPAMemAddr.bits.ftqOffset := exceptionDataRead.bits.ftqOffset
629
630  XSDebug(io.flushOut.valid,
631    p"generate redirect: pc 0x${Hexadecimal(io.exception.bits.pc)} intr $intrEnable " +
632      p"excp $deqHasException flushPipe $isFlushPipe " +
633      p"Trap_target 0x${Hexadecimal(io.csr.trapTarget.pc)} exceptionVec ${Binary(exceptionDataRead.bits.exceptionVec.asUInt)}\n")
634
635
636  /**
637   * Commits (and walk)
638   * They share the same width.
639   */
640  // T redirect.valid, T+1 use walkPtrVec read robEntries, T+2 start walk, shouldWalkVec used in T+2
641  val shouldWalkVec = Wire(Vec(CommitWidth,Bool()))
642  val walkingPtrVec = RegNext(walkPtrVec)
643  when(io.redirect.valid){
644    shouldWalkVec := 0.U.asTypeOf(shouldWalkVec)
645  }.elsewhen(RegNext(io.redirect.valid)){
646    shouldWalkVec := 0.U.asTypeOf(shouldWalkVec)
647  }.elsewhen(state === s_walk){
648    shouldWalkVec := VecInit(walkingPtrVec.map(_ <= lastWalkPtr).zip(donotNeedWalk).map(x => x._1 && !x._2))
649  }.otherwise(
650    shouldWalkVec := 0.U.asTypeOf(shouldWalkVec)
651  )
652  val walkFinished = walkPtrTrue > lastWalkPtr
653  rab.io.fromRob.walkEnd := state === s_walk && walkFinished
654  vtypeBuffer.io.fromRob.walkEnd := state === s_walk && walkFinished
655
656  require(RenameWidth <= CommitWidth)
657
658  // wiring to csr
659  val (wflags, dirtyFs) = (0 until CommitWidth).map(i => {
660    val v = io.commits.commitValid(i)
661    val info = io.commits.info(i)
662    (v & info.wflags, v & info.dirtyFs)
663  }).unzip
664  val fflags = Wire(Valid(UInt(5.W)))
665  fflags.valid := io.commits.isCommit && VecInit(wflags).asUInt.orR
666  fflags.bits := wflags.zip(fflagsDataRead).map({
667    case (w, f) => Mux(w, f, 0.U)
668  }).reduce(_ | _)
669  val dirtyVs = (0 until CommitWidth).map(i => {
670    val v = io.commits.commitValid(i)
671    val info = io.commits.info(i)
672    v & info.dirtyVs
673  })
674  val dirty_fs = io.commits.isCommit && VecInit(dirtyFs).asUInt.orR
675  val dirty_vs = io.commits.isCommit && VecInit(dirtyVs).asUInt.orR
676
677  val resetVstart = dirty_vs && !io.vstartIsZero
678
679  vecExcpInfo.valid := exceptionHappen && exceptionDataRead.bits.vstartEn && exceptionDataRead.bits.isVecLoad && !exceptionDataRead.bits.isEnqExcp
680  when (exceptionHappen) {
681    vecExcpInfo.bits.nf := exceptionDataRead.bits.nf
682    vecExcpInfo.bits.vsew := exceptionDataRead.bits.vsew
683    vecExcpInfo.bits.veew := exceptionDataRead.bits.veew
684    vecExcpInfo.bits.vlmul := exceptionDataRead.bits.vlmul
685    vecExcpInfo.bits.isStride := exceptionDataRead.bits.isStrided
686    vecExcpInfo.bits.isIndexed := exceptionDataRead.bits.isIndexed
687    vecExcpInfo.bits.isWhole := exceptionDataRead.bits.isWhole
688    vecExcpInfo.bits.isVlm := exceptionDataRead.bits.isVlm
689    vecExcpInfo.bits.vstart := exceptionDataRead.bits.vstart
690  }
691
692  io.csr.vstart.valid := RegNext(Mux(exceptionHappen, exceptionDataRead.bits.vstartEn, resetVstart))
693  io.csr.vstart.bits := RegNext(Mux(exceptionHappen, exceptionDataRead.bits.vstart, 0.U))
694
695  val vxsat = Wire(Valid(Bool()))
696  vxsat.valid := io.commits.isCommit && vxsat.bits
697  vxsat.bits := io.commits.commitValid.zip(vxsatDataRead).map {
698    case (valid, vxsat) => valid & vxsat
699  }.reduce(_ | _)
700
701  // when mispredict branches writeback, stop commit in the next 2 cycles
702  // TODO: don't check all exu write back
703  val misPredWb = Cat(VecInit(redirectWBs.map(wb =>
704    wb.bits.redirect.get.bits.cfiUpdate.isMisPred && wb.bits.redirect.get.valid && wb.valid
705  ).toSeq)).orR
706  val misPredBlockCounter = Reg(UInt(3.W))
707  misPredBlockCounter := Mux(misPredWb,
708    "b111".U,
709    misPredBlockCounter >> 1.U
710  )
711  val misPredBlock = misPredBlockCounter(0)
712  val deqFlushBlockCounter = Reg(UInt(3.W))
713  val deqFlushBlock = deqFlushBlockCounter(0)
714  val deqHasCommitted = io.commits.isCommit && io.commits.commitValid(0)
715  val deqHitRedirectReg = RegNext(io.redirect.valid && io.redirect.bits.robIdx === deqPtr)
716  val criticalErrorState = RegEnable(true.B, false.B, io.criticalError)
717  when(deqNeedFlush && deqHitRedirectReg){
718    deqFlushBlockCounter := "b111".U
719  }.otherwise{
720    deqFlushBlockCounter := deqFlushBlockCounter >> 1.U
721  }
722  when(deqHasCommitted){
723    deqHasFlushed := false.B
724  }.elsewhen(deqNeedFlush && io.flushOut.valid && !io.flushOut.bits.flushItself()){
725    deqHasFlushed := true.B
726  }
727  val blockCommit = misPredBlock || lastCycleFlush || hasWFI || io.redirect.valid ||
728    (deqNeedFlush && !deqHasFlushed) || deqFlushBlock || criticalErrorState
729
730  io.commits.isWalk := state === s_walk
731  io.commits.isCommit := state === s_idle && !blockCommit
732
733  val walk_v = VecInit(walkingPtrVec.map(ptr => robEntries(ptr.value).valid))
734  val commit_vDeqGroup = VecInit(robDeqGroup.map(_.commit_v))
735  val commit_wDeqGroup = VecInit(robDeqGroup.map(_.commit_w))
736  val realCommitLast = deqPtrVec(0).lineHeadPtr + Fill(bankAddrWidth, 1.U)
737  val commit_block = VecInit((0 until CommitWidth).map(i => !commit_wDeqGroup(i) && !hasCommitted(i)))
738  val allowOnlyOneCommit = VecInit(robDeqGroup.map(x => x.commit_v && x.needFlush)).asUInt.orR || intrBitSetReg
739  // for instructions that may block others, we don't allow them to commit
740  io.commits.commitValid := PriorityMux(commitValidThisLine, (0 until CommitWidth).map(i => (commitValidThisLine.asUInt >> i).asUInt.asTypeOf(io.commits.commitValid)))
741
742  for (i <- 0 until CommitWidth) {
743    // defaults: state === s_idle and instructions commit
744    // when intrBitSetReg, allow only one instruction to commit at each clock cycle
745    val isBlocked = intrEnable || (deqNeedFlush && !deqHasFlushed && !deqHasFlushPipe)
746    val isBlockedByOlder = if (i != 0) commit_block.asUInt(i, 0).orR || allowOnlyOneCommit && !hasCommitted.asUInt(i - 1, 0).andR else false.B
747    commitValidThisLine(i) := commit_vDeqGroup(i) && commit_wDeqGroup(i) && !isBlocked && !isBlockedByOlder && !hasCommitted(i)
748    io.commits.info(i) := commitInfo(i)
749    io.commits.robIdx(i) := deqPtrVec(i)
750
751    io.commits.walkValid(i) := shouldWalkVec(i)
752    when(state === s_walk) {
753      when(io.commits.isWalk && state === s_walk && shouldWalkVec(i)) {
754        XSError(!walk_v(i), s"The walking entry($i) should be valid\n")
755      }
756    }
757
758    XSInfo(io.commits.isCommit && io.commits.commitValid(i),
759      "retired pc %x wen %d ldest %d pdest %x data %x fflags: %b vxsat: %b\n",
760      debug_microOp(deqPtrVec(i).value).pc,
761      io.commits.info(i).rfWen,
762      io.commits.info(i).debug_ldest.getOrElse(0.U),
763      io.commits.info(i).debug_pdest.getOrElse(0.U),
764      debug_exuData(deqPtrVec(i).value),
765      fflagsDataRead(i),
766      vxsatDataRead(i)
767    )
768    XSInfo(state === s_walk && io.commits.walkValid(i), "walked pc %x wen %d ldst %d data %x\n",
769      debug_microOp(walkPtrVec(i).value).pc,
770      io.commits.info(i).rfWen,
771      io.commits.info(i).debug_ldest.getOrElse(0.U),
772      debug_exuData(walkPtrVec(i).value)
773    )
774  }
775
776  // sync fflags/dirty_fs/vxsat to csr
777  io.csr.fflags   := RegNextWithEnable(fflags)
778  io.csr.dirty_fs := GatedValidRegNext(dirty_fs)
779  io.csr.dirty_vs := GatedValidRegNext(dirty_vs)
780  io.csr.vxsat    := RegNextWithEnable(vxsat)
781
782  // commit load/store to lsq
783  val ldCommitVec = VecInit((0 until CommitWidth).map(i => io.commits.commitValid(i) && io.commits.info(i).commitType === CommitType.LOAD))
784  // TODO: Check if meet the require that only set scommit when commit scala store uop
785  val stCommitVec = VecInit((0 until CommitWidth).map(i => io.commits.commitValid(i) && io.commits.info(i).commitType === CommitType.STORE && !robEntries(deqPtrVec(i).value).vls ))
786  io.lsq.lcommit := RegNext(Mux(io.commits.isCommit, PopCount(ldCommitVec), 0.U))
787  io.lsq.scommit := RegNext(Mux(io.commits.isCommit, PopCount(stCommitVec), 0.U))
788  // indicate a pending load or store
789  io.lsq.pendingUncacheld := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.LOAD && robEntries(deqPtr.value).valid && robEntries(deqPtr.value).mmio)
790  io.lsq.pendingld := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.LOAD && robEntries(deqPtr.value).valid)
791  // TODO: Check if need deassert pendingst when it is vst
792  io.lsq.pendingst := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.STORE && robEntries(deqPtr.value).valid)
793  // TODO: Check if set correctly when vector store is at the head of ROB
794  io.lsq.pendingVst := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.STORE && robEntries(deqPtr.value).valid && robEntries(deqPtr.value).vls)
795  io.lsq.commit := RegNext(io.commits.isCommit && io.commits.commitValid(0))
796  io.lsq.pendingPtr := RegNext(deqPtr)
797  io.lsq.pendingPtrNext := RegNext(deqPtrVec_next.head)
798
799  /**
800   * state changes
801   * (1) redirect: switch to s_walk
802   * (2) walk: when walking comes to the end, switch to s_idle
803   */
804  state_next := Mux(
805    io.redirect.valid || RegNext(io.redirect.valid), s_walk,
806    Mux(
807      state === s_walk && walkFinished && rab.io.status.walkEnd && vtypeBuffer.io.status.walkEnd, s_idle,
808      state
809    )
810  )
811  XSPerfAccumulate("s_idle_to_idle", state === s_idle && state_next === s_idle)
812  XSPerfAccumulate("s_idle_to_walk", state === s_idle && state_next === s_walk)
813  XSPerfAccumulate("s_walk_to_idle", state === s_walk && state_next === s_idle)
814  XSPerfAccumulate("s_walk_to_walk", state === s_walk && state_next === s_walk)
815  state := state_next
816
817  /**
818   * pointers and counters
819   */
820  val deqPtrGenModule = Module(new NewRobDeqPtrWrapper)
821  deqPtrGenModule.io.state := state
822  deqPtrGenModule.io.deq_v := commit_vDeqGroup
823  deqPtrGenModule.io.deq_w := commit_wDeqGroup
824  deqPtrGenModule.io.exception_state := exceptionDataRead
825  deqPtrGenModule.io.intrBitSetReg := intrBitSetReg
826  deqPtrGenModule.io.hasNoSpecExec := hasWaitForward
827  deqPtrGenModule.io.allowOnlyOneCommit := allowOnlyOneCommit
828  deqPtrGenModule.io.interrupt_safe := robDeqGroup(deqPtr.value(bankAddrWidth-1,0)).interrupt_safe
829  deqPtrGenModule.io.blockCommit := blockCommit
830  deqPtrGenModule.io.hasCommitted := hasCommitted
831  deqPtrGenModule.io.allCommitted := allCommitted
832  deqPtrVec := deqPtrGenModule.io.out
833  deqPtrVec_next := deqPtrGenModule.io.next_out
834
835  val enqPtrGenModule = Module(new RobEnqPtrWrapper)
836  enqPtrGenModule.io.redirect := io.redirect
837  enqPtrGenModule.io.allowEnqueue := allowEnqueue && rab.io.canEnq && !io.fromVecExcpMod.busy
838  enqPtrGenModule.io.hasBlockBackward := hasBlockBackward
839  enqPtrGenModule.io.enq := VecInit(io.enq.req.map(req => req.valid && req.bits.firstUop))
840  enqPtrVec := enqPtrGenModule.io.out
841
842  // next walkPtrVec:
843  // (1) redirect occurs: update according to state
844  // (2) walk: move forwards
845  val deqPtrReadBank = deqPtrVec_next(0).lineHeadPtr
846  val deqPtrVecForWalk = VecInit((0 until CommitWidth).map(i => deqPtrReadBank + i.U))
847  val snapPtrReadBank = snapshots(io.snpt.snptSelect)(0).lineHeadPtr
848  val snapPtrVecForWalk = VecInit((0 until CommitWidth).map(i => snapPtrReadBank + i.U))
849  val walkPtrVec_next: Vec[RobPtr] = Mux(io.redirect.valid,
850    Mux(io.snpt.useSnpt, snapPtrVecForWalk, deqPtrVecForWalk),
851    Mux((state === s_walk) && !walkFinished, VecInit(walkPtrVec.map(_ + CommitWidth.U)), walkPtrVec)
852  )
853  val walkPtrTrue_next: RobPtr = Mux(io.redirect.valid,
854    Mux(io.snpt.useSnpt, snapshots(io.snpt.snptSelect)(0), deqPtrVec_next(0)),
855    Mux((state === s_walk) && !walkFinished, walkPtrVec_next.head, walkPtrTrue)
856  )
857  walkPtrHead := walkPtrVec_next.head
858  walkPtrVec := walkPtrVec_next
859  walkPtrTrue := walkPtrTrue_next
860  // T io.redirect.valid, T+1 walkPtrLowBits update, T+2 donotNeedWalk update
861  val walkPtrLowBits = Reg(UInt(bankAddrWidth.W))
862  when(io.redirect.valid){
863    walkPtrLowBits := Mux(io.snpt.useSnpt, snapshots(io.snpt.snptSelect)(0).value(bankAddrWidth-1, 0), deqPtrVec_next(0).value(bankAddrWidth-1, 0))
864  }
865  when(io.redirect.valid) {
866    donotNeedWalk := Fill(donotNeedWalk.length, true.B).asTypeOf(donotNeedWalk)
867  }.elsewhen(RegNext(io.redirect.valid)){
868    donotNeedWalk := (0 until CommitWidth).map(i => (i.U < walkPtrLowBits))
869  }.otherwise{
870    donotNeedWalk := 0.U.asTypeOf(donotNeedWalk)
871  }
872  walkDestSizeDeqGroup.zip(walkPtrVec_next).map {
873    case (reg, ptrNext) => reg := robEntries(deqPtr.value).realDestSize
874  }
875  val numValidEntries = distanceBetween(enqPtr, deqPtr)
876  val commitCnt = PopCount(io.commits.commitValid)
877
878  allowEnqueue := numValidEntries + dispatchNum <= (RobSize - CommitWidth).U
879
880  val redirectWalkDistance = distanceBetween(io.redirect.bits.robIdx, deqPtrVec_next(0))
881  when(io.redirect.valid) {
882    lastWalkPtr := Mux(io.redirect.bits.flushItself(), io.redirect.bits.robIdx - 1.U, io.redirect.bits.robIdx)
883  }
884
885
886  /**
887   * States
888   * We put all the stage bits changes here.
889   *
890   * All events: (1) enqueue (dispatch); (2) writeback; (3) cancel; (4) dequeue (commit);
891   * All states: (1) valid; (2) writebacked; (3) flagBkup
892   */
893
894  val deqPtrGroup = Wire(Vec(2 * CommitWidth, new RobPtr))
895  deqPtrGroup.zipWithIndex.map { case (deq, i) => deq := deqPtrVec(0) + i.U }
896  val commitReadAddr = Mux(state === s_idle, VecInit(deqPtrVec.map(_.value)), VecInit(walkPtrVec.map(_.value)))
897
898  val redirectValidReg = RegNext(io.redirect.valid)
899  val redirectBegin = Reg(UInt(log2Up(RobSize).W))
900  val redirectEnd = Reg(UInt(log2Up(RobSize).W))
901  when(io.redirect.valid){
902    redirectBegin := Mux(io.redirect.bits.flushItself(), io.redirect.bits.robIdx.value - 1.U, io.redirect.bits.robIdx.value)
903    redirectEnd := enqPtr.value
904  }
905
906  // update robEntries valid
907  for (i <- 0 until RobSize) {
908    val enqOH = VecInit(canEnqueue.zip(allocatePtrVec.map(_.value === i.U)).map(x => x._1 && x._2))
909    val commitCond = io.commits.isCommit && io.commits.commitValid.zip(deqPtrVec.map(_.value === i.U)).map(x => x._1 && x._2).reduce(_ || _)
910    assert(PopCount(enqOH) < 2.U, s"robEntries$i enqOH is not one hot")
911    val needFlush = redirectValidReg && Mux(
912      redirectEnd > redirectBegin,
913      (i.U > redirectBegin) && (i.U < redirectEnd),
914      (i.U > redirectBegin) || (i.U < redirectEnd)
915    )
916    when(commitCond) {
917      robEntries(i).valid := false.B
918    }.elsewhen(enqOH.asUInt.orR && !io.redirect.valid) {
919      robEntries(i).valid := true.B
920    }.elsewhen(needFlush){
921      robEntries(i).valid := false.B
922    }
923  }
924
925  // debug_inst update
926  for (i <- 0 until (LduCnt + StaCnt)) {
927    debug_lsInfo(io.debug_ls.debugLsInfo(i).s1_robIdx).s1SignalEnable(io.debug_ls.debugLsInfo(i))
928    debug_lsInfo(io.debug_ls.debugLsInfo(i).s2_robIdx).s2SignalEnable(io.debug_ls.debugLsInfo(i))
929    debug_lsInfo(io.debug_ls.debugLsInfo(i).s3_robIdx).s3SignalEnable(io.debug_ls.debugLsInfo(i))
930  }
931  for (i <- 0 until LduCnt) {
932    debug_lsTopdownInfo(io.lsTopdownInfo(i).s1.robIdx).s1SignalEnable(io.lsTopdownInfo(i))
933    debug_lsTopdownInfo(io.lsTopdownInfo(i).s2.robIdx).s2SignalEnable(io.lsTopdownInfo(i))
934  }
935
936  // status field: writebacked
937  // enqueue logic set 6 writebacked to false
938  for (i <- 0 until RenameWidth) {
939    when(canEnqueue(i)) {
940      val enqHasException = ExceptionNO.selectFrontend(io.enq.req(i).bits.exceptionVec).asUInt.orR
941      val enqTriggerActionIsDebugMode = TriggerAction.isDmode(io.enq.req(i).bits.trigger)
942      val enqIsWritebacked = io.enq.req(i).bits.eliminatedMove
943      val isStu = FuType.isStore(io.enq.req(i).bits.fuType)
944      robEntries(allocatePtrVec(i).value).commitTrigger := enqIsWritebacked && !enqHasException && !enqTriggerActionIsDebugMode && !isStu
945    }
946  }
947  when(exceptionGen.io.out.valid) {
948    val wbIdx = exceptionGen.io.out.bits.robIdx.value
949    robEntries(wbIdx).commitTrigger := true.B
950  }
951
952  // writeback logic set numWbPorts writebacked to true
953  val blockWbSeq = Wire(Vec(exuWBs.length, Bool()))
954  blockWbSeq.map(_ := false.B)
955  for ((wb, blockWb) <- exuWBs.zip(blockWbSeq)) {
956    when(wb.valid) {
957      val wbIdx = wb.bits.robIdx.value
958      val wbHasException = wb.bits.exceptionVec.getOrElse(0.U).asUInt.orR
959      val wbTriggerActionIsDebugMode = TriggerAction.isDmode(wb.bits.trigger.getOrElse(TriggerAction.None))
960      val wbHasFlushPipe = wb.bits.flushPipe.getOrElse(false.B)
961      val wbHasReplayInst = wb.bits.replay.getOrElse(false.B) //Todo: && wb.bits.replayInst
962      blockWb := wbHasException || wbHasFlushPipe || wbHasReplayInst || wbTriggerActionIsDebugMode
963      robEntries(wbIdx).commitTrigger := !blockWb
964    }
965  }
966
967  // if the first uop of an instruction is valid , write writebackedCounter
968  val uopEnqValidSeq = io.enq.req.map(req => io.enq.canAccept && req.valid)
969  val instEnqValidSeq = io.enq.req.map(req => io.enq.canAccept && req.valid && req.bits.firstUop)
970  val enqNeedWriteRFSeq = io.enq.req.map(_.bits.needWriteRf)
971  val enqHasExcpSeq = io.enq.req.map(_.bits.hasException)
972  val enqRobIdxSeq = io.enq.req.map(req => req.bits.robIdx.value)
973  val enqUopNumVec = VecInit(io.enq.req.map(req => req.bits.numUops))
974  val enqWBNumVec = VecInit(io.enq.req.map(req => req.bits.numWB))
975  val enqEliminatedMoveVec = VecInit(io.enq.req.map(req => req.bits.eliminatedMove))
976
977  private val enqWriteStdVec: Vec[Bool] = VecInit(io.enq.req.map {
978    req => FuType.isAMO(req.bits.fuType) || FuType.isStore(req.bits.fuType)
979  })
980  val fflags_wb = fflagsWBs
981  val vxsat_wb = vxsatWBs
982  for (i <- 0 until RobSize) {
983
984    val robIdxMatchSeq = io.enq.req.map(_.bits.robIdx.value === i.U)
985    val uopCanEnqSeq = uopEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch }
986    val instCanEnqSeq = instEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch }
987    val instCanEnqFlag = Cat(instCanEnqSeq).orR
988    val hasExcpSeq = enqHasExcpSeq.lazyZip(robIdxMatchSeq).lazyZip(uopEnqValidSeq).map { case (excp, isMatch, valid) => excp && isMatch && valid }
989    val hasExcpFlag = Cat(hasExcpSeq).orR
990    val isFirstEnq = !robEntries(i).valid && instCanEnqFlag
991    val realDestEnqNum = PopCount(enqNeedWriteRFSeq.zip(uopCanEnqSeq).map { case (writeFlag, valid) => writeFlag && valid })
992    when(isFirstEnq){
993      robEntries(i).realDestSize := Mux(hasExcpFlag, 0.U, realDestEnqNum)
994    }.elsewhen(robEntries(i).valid && Cat(uopCanEnqSeq).orR){
995      robEntries(i).realDestSize := robEntries(i).realDestSize + realDestEnqNum
996    }
997    val enqUopNum = PriorityMux(instCanEnqSeq, enqUopNumVec)
998    val enqWBNum = PriorityMux(instCanEnqSeq, enqWBNumVec)
999    val enqEliminatedMove = PriorityMux(instCanEnqSeq, enqEliminatedMoveVec)
1000    val enqWriteStd = PriorityMux(instCanEnqSeq, enqWriteStdVec)
1001
1002    val canWbSeq = exuWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U)
1003    val canWbNoBlockSeq = canWbSeq.zip(blockWbSeq).map { case (canWb, blockWb) => canWb && !blockWb }
1004    val canStdWbSeq = VecInit(stdWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U))
1005    val wbCnt = Mux1H(canWbSeq, io.writebackNums.map(_.bits))
1006
1007    val canWbExceptionSeq = exceptionWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U)
1008    val needFlush = robEntries(i).needFlush
1009    val needFlushWriteBack = Wire(Bool())
1010    needFlushWriteBack := Mux1H(canWbExceptionSeq, io.writebackNeedFlush)
1011    when(robEntries(i).valid){
1012      needFlush := needFlush || needFlushWriteBack
1013    }
1014
1015    when(robEntries(i).valid && (needFlush || needFlushWriteBack)) {
1016      // exception flush
1017      robEntries(i).uopNum := robEntries(i).uopNum - wbCnt
1018      robEntries(i).stdWritebacked := true.B
1019    }.elsewhen(!robEntries(i).valid && instCanEnqFlag) {
1020      // enq set num of uops
1021      robEntries(i).uopNum := enqWBNum
1022      robEntries(i).stdWritebacked := Mux(enqWriteStd, false.B, true.B)
1023    }.elsewhen(robEntries(i).valid) {
1024      // update by writing back
1025      robEntries(i).uopNum := robEntries(i).uopNum - wbCnt
1026      assert(!(robEntries(i).uopNum - wbCnt > robEntries(i).uopNum), s"robEntries $i uopNum is overflow!")
1027      when(canStdWbSeq.asUInt.orR) {
1028        robEntries(i).stdWritebacked := true.B
1029      }
1030    }
1031
1032    val fflagsCanWbSeq = fflags_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U && writeback.bits.wflags.getOrElse(false.B))
1033    val fflagsRes = fflagsCanWbSeq.zip(fflags_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.fflags.get, 0.U) }.fold(false.B)(_ | _)
1034    when(isFirstEnq) {
1035      robEntries(i).fflags := 0.U
1036    }.elsewhen(fflagsRes.orR) {
1037      robEntries(i).fflags := robEntries(i).fflags | fflagsRes
1038    }
1039
1040    val vxsatCanWbSeq = vxsat_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U)
1041    val vxsatRes = vxsatCanWbSeq.zip(vxsat_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.vxsat.get, 0.U) }.fold(false.B)(_ | _)
1042    when(isFirstEnq) {
1043      robEntries(i).vxsat := 0.U
1044    }.elsewhen(vxsatRes.orR) {
1045      robEntries(i).vxsat := robEntries(i).vxsat | vxsatRes
1046    }
1047
1048    // trace
1049    val taken = branchWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U && writeback.bits.redirect.get.bits.cfiUpdate.taken).reduce(_ || _)
1050    val xret = csrWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U && io.csr.isXRet).reduce(_ || _)
1051
1052    when(xret){
1053      robEntries(i).traceBlockInPipe.itype := Itype.ExpIntReturn
1054    }.elsewhen(Itype.isBranchType(robEntries(i).traceBlockInPipe.itype)){
1055      // BranchType code(itype = 5) must be correctly replaced!
1056      robEntries(i).traceBlockInPipe.itype := Mux(taken, Itype.Taken, Itype.NonTaken)
1057    }
1058  }
1059
1060  // begin update robBanksRdata
1061  val robBanksRdata = VecInit(robBanksRdataThisLine ++ robBanksRdataNextLine)
1062  val needUpdate = Wire(Vec(2 * CommitWidth, new RobEntryBundle))
1063  needUpdate := VecInit(robBanksRdataThisLine ++ robBanksRdataNextLine)
1064  val needUpdateRobIdx = robIdxThisLine ++ robIdxNextLine
1065  for (i <- 0 until 2 * CommitWidth) {
1066    val robIdxMatchSeq = io.enq.req.map(_.bits.robIdx.value === needUpdateRobIdx(i))
1067    val uopCanEnqSeq = uopEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch }
1068    val instCanEnqSeq = instEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch }
1069    val instCanEnqFlag = Cat(instCanEnqSeq).orR
1070    val realDestEnqNum = PopCount(enqNeedWriteRFSeq.zip(uopCanEnqSeq).map { case (writeFlag, valid) => writeFlag && valid })
1071    when(!needUpdate(i).valid && instCanEnqFlag) {
1072      needUpdate(i).realDestSize := realDestEnqNum
1073    }.elsewhen(needUpdate(i).valid && instCanEnqFlag) {
1074      needUpdate(i).realDestSize := robBanksRdata(i).realDestSize + realDestEnqNum
1075    }
1076    val enqUopNum = PriorityMux(instCanEnqSeq, enqUopNumVec)
1077    val enqWBNum = PriorityMux(instCanEnqSeq, enqWBNumVec)
1078    val enqEliminatedMove = PriorityMux(instCanEnqSeq, enqEliminatedMoveVec)
1079    val enqWriteStd = PriorityMux(instCanEnqSeq, enqWriteStdVec)
1080
1081    val canWbSeq = exuWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === needUpdateRobIdx(i))
1082    val canWbNoBlockSeq = canWbSeq.zip(blockWbSeq).map { case (canWb, blockWb) => canWb && !blockWb }
1083    val canStdWbSeq = VecInit(stdWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === needUpdateRobIdx(i)))
1084    val wbCnt = Mux1H(canWbSeq, io.writebackNums.map(_.bits))
1085
1086    val canWbExceptionSeq = exceptionWBs.map(writeback => writeback.valid && (writeback.bits.robIdx.value === needUpdateRobIdx(i)))
1087    val needFlush = robBanksRdata(i).needFlush
1088    val needFlushWriteBack = Wire(Bool())
1089    needFlushWriteBack := Mux1H(canWbExceptionSeq, io.writebackNeedFlush)
1090    when(needUpdate(i).valid) {
1091      needUpdate(i).needFlush := needFlush || needFlushWriteBack
1092    }
1093
1094    when(needUpdate(i).valid && (needFlush || needFlushWriteBack)) {
1095      // exception flush
1096      needUpdate(i).uopNum := robBanksRdata(i).uopNum - wbCnt
1097      needUpdate(i).stdWritebacked := true.B
1098    }.elsewhen(!needUpdate(i).valid && instCanEnqFlag) {
1099      // enq set num of uops
1100      needUpdate(i).uopNum := enqWBNum
1101      needUpdate(i).stdWritebacked := Mux(enqWriteStd, false.B, true.B)
1102    }.elsewhen(needUpdate(i).valid) {
1103      // update by writing back
1104      needUpdate(i).uopNum := robBanksRdata(i).uopNum - wbCnt
1105      when(canStdWbSeq.asUInt.orR) {
1106        needUpdate(i).stdWritebacked := true.B
1107      }
1108    }
1109
1110    val fflagsCanWbSeq = fflags_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === needUpdateRobIdx(i) && writeback.bits.wflags.getOrElse(false.B))
1111    val fflagsRes = fflagsCanWbSeq.zip(fflags_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.fflags.get, 0.U) }.fold(false.B)(_ | _)
1112    needUpdate(i).fflags := Mux(!robBanksRdata(i).valid && instCanEnqFlag, 0.U, robBanksRdata(i).fflags | fflagsRes)
1113
1114    val vxsatCanWbSeq = vxsat_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === needUpdateRobIdx(i))
1115    val vxsatRes = vxsatCanWbSeq.zip(vxsat_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.vxsat.get, 0.U) }.fold(false.B)(_ | _)
1116    needUpdate(i).vxsat := Mux(!robBanksRdata(i).valid && instCanEnqFlag, 0.U, robBanksRdata(i).vxsat | vxsatRes)
1117  }
1118  robBanksRdataThisLineUpdate := VecInit(needUpdate.take(8))
1119  robBanksRdataNextLineUpdate := VecInit(needUpdate.drop(8))
1120  // end update robBanksRdata
1121
1122  // interrupt_safe
1123  for (i <- 0 until RenameWidth) {
1124    when(canEnqueue(i)) {
1125      // For now, we allow non-load-store instructions to trigger interrupts
1126      // For MMIO instructions, they should not trigger interrupts since they may
1127      // be sent to lower level before it writes back.
1128      // However, we cannot determine whether a load/store instruction is MMIO.
1129      // Thus, we don't allow load/store instructions to trigger an interrupt.
1130      // TODO: support non-MMIO load-store instructions to trigger interrupts
1131      val allow_interrupts = !CommitType.isLoadStore(io.enq.req(i).bits.commitType) && !FuType.isFence(io.enq.req(i).bits.fuType) && !FuType.isCsr(io.enq.req(i).bits.fuType)
1132      robEntries(allocatePtrVec(i).value).interrupt_safe := allow_interrupts
1133    }
1134  }
1135
1136  /**
1137   * read and write of data modules
1138   */
1139  val commitReadAddr_next = Mux(state_next === s_idle,
1140    VecInit(deqPtrVec_next.map(_.value)),
1141    VecInit(walkPtrVec_next.map(_.value))
1142  )
1143
1144  exceptionGen.io.redirect <> io.redirect
1145  exceptionGen.io.flush := io.flushOut.valid
1146
1147  val canEnqueueEG = VecInit(io.enq.req.map(req => req.valid && io.enq.canAccept))
1148  for (i <- 0 until RenameWidth) {
1149    exceptionGen.io.enq(i).valid := canEnqueueEG(i)
1150    exceptionGen.io.enq(i).bits.robIdx := io.enq.req(i).bits.robIdx
1151    exceptionGen.io.enq(i).bits.ftqPtr := io.enq.req(i).bits.ftqPtr
1152    exceptionGen.io.enq(i).bits.ftqOffset := io.enq.req(i).bits.ftqOffset
1153    exceptionGen.io.enq(i).bits.exceptionVec := ExceptionNO.selectFrontend(io.enq.req(i).bits.exceptionVec)
1154    exceptionGen.io.enq(i).bits.hasException := io.enq.req(i).bits.hasException
1155    exceptionGen.io.enq(i).bits.isEnqExcp := io.enq.req(i).bits.hasException
1156    exceptionGen.io.enq(i).bits.isFetchMalAddr := io.enq.req(i).bits.isFetchMalAddr
1157    exceptionGen.io.enq(i).bits.flushPipe := io.enq.req(i).bits.flushPipe
1158    exceptionGen.io.enq(i).bits.isVset := io.enq.req(i).bits.isVset
1159    exceptionGen.io.enq(i).bits.replayInst := false.B
1160    XSError(canEnqueue(i) && io.enq.req(i).bits.replayInst, "enq should not set replayInst")
1161    exceptionGen.io.enq(i).bits.singleStep := io.enq.req(i).bits.singleStep
1162    exceptionGen.io.enq(i).bits.crossPageIPFFix := io.enq.req(i).bits.crossPageIPFFix
1163    exceptionGen.io.enq(i).bits.trigger := io.enq.req(i).bits.trigger
1164    exceptionGen.io.enq(i).bits.vstartEn := false.B //DontCare
1165    exceptionGen.io.enq(i).bits.vstart := 0.U //DontCare
1166    exceptionGen.io.enq(i).bits.vuopIdx := 0.U
1167    exceptionGen.io.enq(i).bits.isVecLoad := false.B
1168    exceptionGen.io.enq(i).bits.isVlm := false.B
1169    exceptionGen.io.enq(i).bits.isStrided := false.B
1170    exceptionGen.io.enq(i).bits.isIndexed := false.B
1171    exceptionGen.io.enq(i).bits.isWhole := false.B
1172    exceptionGen.io.enq(i).bits.nf := 0.U
1173    exceptionGen.io.enq(i).bits.vsew := 0.U
1174    exceptionGen.io.enq(i).bits.veew := 0.U
1175    exceptionGen.io.enq(i).bits.vlmul := 0.U
1176  }
1177
1178  println(s"ExceptionGen:")
1179  println(s"num of exceptions: ${params.numException}")
1180  require(exceptionWBs.length == exceptionGen.io.wb.length,
1181    f"exceptionWBs.length: ${exceptionWBs.length}, " +
1182      f"exceptionGen.io.wb.length: ${exceptionGen.io.wb.length}")
1183  for (((wb, exc_wb), i) <- exceptionWBs.zip(exceptionGen.io.wb).zipWithIndex) {
1184    exc_wb.valid       := wb.valid
1185    exc_wb.bits.robIdx := wb.bits.robIdx
1186    // only enq inst use ftqPtr to read gpa
1187    exc_wb.bits.ftqPtr          := 0.U.asTypeOf(exc_wb.bits.ftqPtr)
1188    exc_wb.bits.ftqOffset       := 0.U.asTypeOf(exc_wb.bits.ftqOffset)
1189    exc_wb.bits.exceptionVec    := wb.bits.exceptionVec.get
1190    exc_wb.bits.hasException    := wb.bits.exceptionVec.get.asUInt.orR // Todo: use io.writebackNeedFlush(i) instead
1191    exc_wb.bits.isEnqExcp       := false.B
1192    exc_wb.bits.isFetchMalAddr  := false.B
1193    exc_wb.bits.flushPipe       := wb.bits.flushPipe.getOrElse(false.B)
1194    exc_wb.bits.isVset          := false.B
1195    exc_wb.bits.replayInst      := wb.bits.replay.getOrElse(false.B)
1196    exc_wb.bits.singleStep      := false.B
1197    exc_wb.bits.crossPageIPFFix := false.B
1198    val trigger = wb.bits.trigger.getOrElse(TriggerAction.None).asTypeOf(exc_wb.bits.trigger)
1199    exc_wb.bits.trigger := trigger
1200    exc_wb.bits.vstartEn := (if (wb.bits.vls.nonEmpty) wb.bits.exceptionVec.get.asUInt.orR || TriggerAction.isDmode(trigger) else 0.U)
1201    exc_wb.bits.vstart := (if (wb.bits.vls.nonEmpty) wb.bits.vls.get.vpu.vstart else 0.U)
1202    exc_wb.bits.vuopIdx := (if (wb.bits.vls.nonEmpty) wb.bits.vls.get.vpu.vuopIdx else 0.U)
1203    exc_wb.bits.isVecLoad := wb.bits.vls.map(_.isVecLoad).getOrElse(false.B)
1204    exc_wb.bits.isVlm := wb.bits.vls.map(_.isVlm).getOrElse(false.B)
1205    exc_wb.bits.isStrided := wb.bits.vls.map(_.isStrided).getOrElse(false.B) // strided need two mode tmp vreg
1206    exc_wb.bits.isIndexed := wb.bits.vls.map(_.isIndexed).getOrElse(false.B) // indexed and nf=0 need non-sequential uopidx -> vdidx
1207    exc_wb.bits.isWhole := wb.bits.vls.map(_.isWhole).getOrElse(false.B) // indexed and nf=0 need non-sequential uopidx -> vdidx
1208    exc_wb.bits.nf := wb.bits.vls.map(_.vpu.nf).getOrElse(0.U)
1209    exc_wb.bits.vsew := wb.bits.vls.map(_.vpu.vsew).getOrElse(0.U)
1210    exc_wb.bits.veew := wb.bits.vls.map(_.vpu.veew).getOrElse(0.U)
1211    exc_wb.bits.vlmul := wb.bits.vls.map(_.vpu.vlmul).getOrElse(0.U)
1212  }
1213
1214  fflagsDataRead := (0 until CommitWidth).map(i => robEntries(deqPtrVec(i).value).fflags)
1215  vxsatDataRead := (0 until CommitWidth).map(i => robEntries(deqPtrVec(i).value).vxsat)
1216
1217  val isCommit = io.commits.isCommit
1218  val isCommitReg = GatedValidRegNext(io.commits.isCommit)
1219  val instrCntReg = RegInit(0.U(64.W))
1220  val fuseCommitCnt = PopCount(io.commits.commitValid.zip(io.commits.info).map { case (v, i) => RegEnable(v && CommitType.isFused(i.commitType), isCommit) })
1221  val trueCommitCnt = RegEnable(io.commits.commitValid.zip(io.commits.info).map { case (v, i) => Mux(v, i.instrSize, 0.U) }.reduce(_ +& _), isCommit) +& fuseCommitCnt
1222  val retireCounter = Mux(isCommitReg, trueCommitCnt, 0.U)
1223  val instrCnt = instrCntReg + retireCounter
1224  when(isCommitReg){
1225    instrCntReg := instrCnt
1226  }
1227  io.csr.perfinfo.retiredInstr := retireCounter
1228  io.robFull := !allowEnqueue
1229  io.headNotReady := commit_vDeqGroup(deqPtr.value(bankNumWidth-1, 0)) && !commit_wDeqGroup(deqPtr.value(bankNumWidth-1, 0))
1230
1231  io.toVecExcpMod.logicPhyRegMap := rab.io.toVecExcpMod.logicPhyRegMap
1232  io.toVecExcpMod.excpInfo := vecExcpInfo
1233
1234  /**
1235   * debug info
1236   */
1237  XSDebug(p"enqPtr ${enqPtr} deqPtr ${deqPtr}\n")
1238  XSDebug("")
1239  XSError(isBefore(enqPtr, deqPtr) && !isFull(enqPtr, deqPtr), "\ndeqPtr is older than enqPtr!\n")
1240  for (i <- 0 until RobSize) {
1241    XSDebug(false, !robEntries(i).valid, "-")
1242    XSDebug(false, robEntries(i).valid && robEntries(i).isWritebacked, "w")
1243    XSDebug(false, robEntries(i).valid && !robEntries(i).isWritebacked, "v")
1244  }
1245  XSDebug(false, true.B, "\n")
1246
1247  for (i <- 0 until RobSize) {
1248    if (i % 4 == 0) XSDebug("")
1249    XSDebug(false, true.B, "%x ", debug_microOp(i).pc)
1250    XSDebug(false, !robEntries(i).valid, "- ")
1251    XSDebug(false, robEntries(i).valid && robEntries(i).isWritebacked, "w ")
1252    XSDebug(false, robEntries(i).valid && !robEntries(i).isWritebacked, "v ")
1253    if (i % 4 == 3) XSDebug(false, true.B, "\n")
1254  }
1255
1256  def ifCommit(counter: UInt): UInt = Mux(isCommit, counter, 0.U)
1257
1258  def ifCommitReg(counter: UInt): UInt = Mux(isCommitReg, counter, 0.U)
1259
1260  val commitDebugUop = deqPtrVec.map(_.value).map(debug_microOp(_))
1261  XSPerfAccumulate("clock_cycle", 1.U)
1262  QueuePerf(RobSize, numValidEntries, numValidEntries === RobSize.U)
1263  XSPerfAccumulate("commitUop", ifCommit(commitCnt))
1264  XSPerfAccumulate("commitInstr", ifCommitReg(trueCommitCnt))
1265  XSPerfRolling("ipc", ifCommitReg(trueCommitCnt), 1000, clock, reset)
1266  XSPerfRolling("cpi", perfCnt = 1.U /*Cycle*/ , eventTrigger = ifCommitReg(trueCommitCnt), granularity = 1000, clock, reset)
1267  val commitIsMove = commitInfo.map(_.isMove)
1268  XSPerfAccumulate("commitInstrMove", ifCommit(PopCount(io.commits.commitValid.zip(commitIsMove).map { case (v, m) => v && m })))
1269  val commitMoveElim = commitDebugUop.map(_.debugInfo.eliminatedMove)
1270  XSPerfAccumulate("commitInstrMoveElim", ifCommit(PopCount(io.commits.commitValid zip commitMoveElim map { case (v, e) => v && e })))
1271  XSPerfAccumulate("commitInstrFused", ifCommitReg(fuseCommitCnt))
1272  val commitIsLoad = io.commits.info.map(_.commitType).map(_ === CommitType.LOAD)
1273  val commitLoadValid = io.commits.commitValid.zip(commitIsLoad).map { case (v, t) => v && t }
1274  XSPerfAccumulate("commitInstrLoad", ifCommit(PopCount(commitLoadValid)))
1275  val commitIsBranch = io.commits.info.map(_.commitType).map(_ === CommitType.BRANCH)
1276  val commitBranchValid = io.commits.commitValid.zip(commitIsBranch).map { case (v, t) => v && t }
1277  XSPerfAccumulate("commitInstrBranch", ifCommit(PopCount(commitBranchValid)))
1278  val commitLoadWaitBit = commitInfo.map(_.loadWaitBit)
1279  XSPerfAccumulate("commitInstrLoadWait", ifCommit(PopCount(commitLoadValid.zip(commitLoadWaitBit).map { case (v, w) => v && w })))
1280  val commitIsStore = io.commits.info.map(_.commitType).map(_ === CommitType.STORE)
1281  XSPerfAccumulate("commitInstrStore", ifCommit(PopCount(io.commits.commitValid.zip(commitIsStore).map { case (v, t) => v && t })))
1282  XSPerfAccumulate("writeback", PopCount((0 until RobSize).map(i => robEntries(i).valid && robEntries(i).isWritebacked)))
1283  // XSPerfAccumulate("enqInstr", PopCount(io.dp1Req.map(_.fire)))
1284  // XSPerfAccumulate("d2rVnR", PopCount(io.dp1Req.map(p => p.valid && !p.ready)))
1285  XSPerfAccumulate("walkInstr", Mux(io.commits.isWalk, PopCount(io.commits.walkValid), 0.U))
1286  XSPerfAccumulate("walkCycleTotal", state === s_walk)
1287  XSPerfAccumulate("waitRabWalkEnd", state === s_walk && walkFinished && !rab.io.status.walkEnd)
1288  private val walkCycle = RegInit(0.U(8.W))
1289  private val waitRabWalkCycle = RegInit(0.U(8.W))
1290  walkCycle := Mux(io.redirect.valid, 0.U, Mux(state === s_walk, walkCycle + 1.U, 0.U))
1291  waitRabWalkCycle := Mux(state === s_walk && walkFinished, 0.U, Mux(state === s_walk, walkCycle + 1.U, 0.U))
1292
1293  XSPerfHistogram("walkRobCycleHist", walkCycle, state === s_walk && walkFinished, 0, 32)
1294  XSPerfHistogram("walkRabExtraCycleHist", waitRabWalkCycle, state === s_walk && walkFinished && rab.io.status.walkEnd, 0, 32)
1295  XSPerfHistogram("walkTotalCycleHist", walkCycle, state === s_walk && state_next === s_idle, 0, 32)
1296
1297  private val deqNotWritebacked = robEntries(deqPtr.value).valid && !robEntries(deqPtr.value).isWritebacked
1298  private val deqStdNotWritebacked = robEntries(deqPtr.value).valid && !robEntries(deqPtr.value).stdWritebacked
1299  private val deqUopNotWritebacked = robEntries(deqPtr.value).valid && !robEntries(deqPtr.value).isUopWritebacked
1300  private val deqHeadInfo = debug_microOp(deqPtr.value)
1301  val deqUopCommitType = debug_microOp(deqPtr.value).commitType
1302
1303  XSPerfAccumulate("waitAluCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.alu.U)
1304  XSPerfAccumulate("waitMulCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.mul.U)
1305  XSPerfAccumulate("waitDivCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.div.U)
1306  XSPerfAccumulate("waitBrhCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.brh.U)
1307  XSPerfAccumulate("waitJmpCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.jmp.U)
1308  XSPerfAccumulate("waitCsrCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.csr.U)
1309  XSPerfAccumulate("waitFenCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.fence.U)
1310  XSPerfAccumulate("waitBkuCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.bku.U)
1311  XSPerfAccumulate("waitLduCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.ldu.U)
1312  XSPerfAccumulate("waitStuCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.stu.U)
1313  XSPerfAccumulate("waitStaCycle", deqUopNotWritebacked && deqHeadInfo.fuType === FuType.stu.U)
1314  XSPerfAccumulate("waitStdCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.stu.U)
1315  XSPerfAccumulate("waitAtmCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.mou.U)
1316
1317  XSPerfAccumulate("waitVfaluCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.vfalu.U)
1318  XSPerfAccumulate("waitVfmaCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.vfma.U)
1319  XSPerfAccumulate("waitVfdivCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.vfdiv.U)
1320
1321  val vfalufuop = Seq(VfaluType.vfadd, VfaluType.vfwadd, VfaluType.vfwadd_w, VfaluType.vfsub, VfaluType.vfwsub, VfaluType.vfwsub_w, VfaluType.vfmin, VfaluType.vfmax,
1322    VfaluType.vfmerge, VfaluType.vfmv, VfaluType.vfsgnj, VfaluType.vfsgnjn, VfaluType.vfsgnjx, VfaluType.vfeq, VfaluType.vfne, VfaluType.vflt, VfaluType.vfle, VfaluType.vfgt,
1323    VfaluType.vfge, VfaluType.vfclass, VfaluType.vfmv_f_s, VfaluType.vfmv_s_f, VfaluType.vfredusum, VfaluType.vfredmax, VfaluType.vfredmin, VfaluType.vfredosum, VfaluType.vfwredosum)
1324
1325  vfalufuop.zipWithIndex.map{
1326    case(fuoptype,i) =>  XSPerfAccumulate(s"waitVfalu_${i}Cycle", deqStdNotWritebacked && deqHeadInfo.fuOpType === fuoptype && deqHeadInfo.fuType === FuType.vfalu.U)
1327  }
1328
1329
1330
1331  XSPerfAccumulate("waitNormalCycle", deqNotWritebacked && deqUopCommitType === CommitType.NORMAL)
1332  XSPerfAccumulate("waitBranchCycle", deqNotWritebacked && deqUopCommitType === CommitType.BRANCH)
1333  XSPerfAccumulate("waitLoadCycle", deqNotWritebacked && deqUopCommitType === CommitType.LOAD)
1334  XSPerfAccumulate("waitStoreCycle", deqNotWritebacked && deqUopCommitType === CommitType.STORE)
1335  XSPerfAccumulate("robHeadPC", io.commits.info(0).debug_pc.getOrElse(0.U))
1336  XSPerfAccumulate("commitCompressCntAll", PopCount(io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => io.commits.isCommit && valid && info.instrSize > 1.U }))
1337  (2 to RenameWidth).foreach(i =>
1338    XSPerfAccumulate(s"commitCompressCnt${i}", PopCount(io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => io.commits.isCommit && valid && info.instrSize === i.U }))
1339  )
1340  XSPerfAccumulate("compressSize", io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => Mux(io.commits.isCommit && valid && info.instrSize > 1.U, info.instrSize, 0.U) }.reduce(_ +& _))
1341  val dispatchLatency = commitDebugUop.map(uop => uop.debugInfo.dispatchTime - uop.debugInfo.renameTime)
1342  val enqRsLatency = commitDebugUop.map(uop => uop.debugInfo.enqRsTime - uop.debugInfo.dispatchTime)
1343  val selectLatency = commitDebugUop.map(uop => uop.debugInfo.selectTime - uop.debugInfo.enqRsTime)
1344  val issueLatency = commitDebugUop.map(uop => uop.debugInfo.issueTime - uop.debugInfo.selectTime)
1345  val executeLatency = commitDebugUop.map(uop => uop.debugInfo.writebackTime - uop.debugInfo.issueTime)
1346  val rsFuLatency = commitDebugUop.map(uop => uop.debugInfo.writebackTime - uop.debugInfo.enqRsTime)
1347  val commitLatency = commitDebugUop.map(uop => timer - uop.debugInfo.writebackTime)
1348
1349  def latencySum(cond: Seq[Bool], latency: Seq[UInt]): UInt = {
1350    cond.zip(latency).map(x => Mux(x._1, x._2, 0.U)).reduce(_ +& _)
1351  }
1352
1353  for (fuType <- FuType.functionNameMap.keys) {
1354    val fuName = FuType.functionNameMap(fuType)
1355    val commitIsFuType = io.commits.commitValid.zip(commitDebugUop).map(x => x._1 && x._2.fuType === fuType.U)
1356    XSPerfRolling(s"ipc_futype_${fuName}", ifCommit(PopCount(commitIsFuType)), 1000, clock, reset)
1357    XSPerfAccumulate(s"${fuName}_instr_cnt", ifCommit(PopCount(commitIsFuType)))
1358    XSPerfAccumulate(s"${fuName}_latency_dispatch", ifCommit(latencySum(commitIsFuType, dispatchLatency)))
1359    XSPerfAccumulate(s"${fuName}_latency_enq_rs", ifCommit(latencySum(commitIsFuType, enqRsLatency)))
1360    XSPerfAccumulate(s"${fuName}_latency_select", ifCommit(latencySum(commitIsFuType, selectLatency)))
1361    XSPerfAccumulate(s"${fuName}_latency_issue", ifCommit(latencySum(commitIsFuType, issueLatency)))
1362    XSPerfAccumulate(s"${fuName}_latency_execute", ifCommit(latencySum(commitIsFuType, executeLatency)))
1363    XSPerfAccumulate(s"${fuName}_latency_enq_rs_execute", ifCommit(latencySum(commitIsFuType, rsFuLatency)))
1364    XSPerfAccumulate(s"${fuName}_latency_commit", ifCommit(latencySum(commitIsFuType, commitLatency)))
1365  }
1366  XSPerfAccumulate(s"redirect_use_snapshot", io.redirect.valid && io.snpt.useSnpt)
1367
1368  // top-down info
1369  io.debugTopDown.toCore.robHeadVaddr.valid := debug_lsTopdownInfo(deqPtr.value).s1.vaddr_valid
1370  io.debugTopDown.toCore.robHeadVaddr.bits := debug_lsTopdownInfo(deqPtr.value).s1.vaddr_bits
1371  io.debugTopDown.toCore.robHeadPaddr.valid := debug_lsTopdownInfo(deqPtr.value).s2.paddr_valid
1372  io.debugTopDown.toCore.robHeadPaddr.bits := debug_lsTopdownInfo(deqPtr.value).s2.paddr_bits
1373  io.debugTopDown.toDispatch.robTrueCommit := ifCommitReg(trueCommitCnt)
1374  io.debugTopDown.toDispatch.robHeadLsIssue := debug_lsIssue(deqPtr.value)
1375  io.debugTopDown.robHeadLqIdx.valid := debug_lqIdxValid(deqPtr.value)
1376  io.debugTopDown.robHeadLqIdx.bits := debug_microOp(deqPtr.value).lqIdx
1377
1378  // rolling
1379  io.debugRolling.robTrueCommit := ifCommitReg(trueCommitCnt)
1380
1381  /**
1382   * DataBase info:
1383   * log trigger is at writeback valid
1384   * */
1385  if (!env.FPGAPlatform) {
1386    val instTableName = "InstTable" + p(XSCoreParamsKey).HartId.toString
1387    val instSiteName = "Rob" + p(XSCoreParamsKey).HartId.toString
1388    val debug_instTable = ChiselDB.createTable(instTableName, new InstInfoEntry)
1389    for (wb <- exuWBs) {
1390      when(wb.valid) {
1391        val debug_instData = Wire(new InstInfoEntry)
1392        val idx = wb.bits.robIdx.value
1393        debug_instData.robIdx := idx
1394        debug_instData.dvaddr := wb.bits.debug.vaddr
1395        debug_instData.dpaddr := wb.bits.debug.paddr
1396        debug_instData.issueTime := wb.bits.debugInfo.issueTime
1397        debug_instData.writebackTime := wb.bits.debugInfo.writebackTime
1398        debug_instData.dispatchLatency := wb.bits.debugInfo.dispatchTime - wb.bits.debugInfo.renameTime
1399        debug_instData.enqRsLatency := wb.bits.debugInfo.enqRsTime - wb.bits.debugInfo.dispatchTime
1400        debug_instData.selectLatency := wb.bits.debugInfo.selectTime - wb.bits.debugInfo.enqRsTime
1401        debug_instData.issueLatency := wb.bits.debugInfo.issueTime - wb.bits.debugInfo.selectTime
1402        debug_instData.executeLatency := wb.bits.debugInfo.writebackTime - wb.bits.debugInfo.issueTime
1403        debug_instData.rsFuLatency := wb.bits.debugInfo.writebackTime - wb.bits.debugInfo.enqRsTime
1404        debug_instData.tlbLatency := wb.bits.debugInfo.tlbRespTime - wb.bits.debugInfo.tlbFirstReqTime
1405        debug_instData.exceptType := Cat(wb.bits.exceptionVec.getOrElse(ExceptionVec(false.B)))
1406        debug_instData.lsInfo := debug_lsInfo(idx)
1407        // debug_instData.globalID := wb.bits.uop.ctrl.debug_globalID
1408        // debug_instData.instType := wb.bits.uop.ctrl.fuType
1409        // debug_instData.ivaddr := wb.bits.uop.cf.pc
1410        // debug_instData.mdpInfo.ssid := wb.bits.uop.cf.ssid
1411        // debug_instData.mdpInfo.waitAllStore := wb.bits.uop.cf.loadWaitStrict && wb.bits.uop.cf.loadWaitBit
1412        debug_instTable.log(
1413          data = debug_instData,
1414          en = wb.valid,
1415          site = instSiteName,
1416          clock = clock,
1417          reset = reset
1418        )
1419      }
1420    }
1421  }
1422
1423
1424  //difftest signals
1425  val firstValidCommit = (deqPtr + PriorityMux(io.commits.commitValid, VecInit(List.tabulate(CommitWidth)(_.U(log2Up(CommitWidth).W))))).value
1426
1427  val wdata = Wire(Vec(CommitWidth, UInt(XLEN.W)))
1428  val wpc = Wire(Vec(CommitWidth, UInt(XLEN.W)))
1429
1430  for (i <- 0 until CommitWidth) {
1431    val idx = deqPtrVec(i).value
1432    wdata(i) := debug_exuData(idx)
1433    wpc(i) := SignExt(commitDebugUop(i).pc, XLEN)
1434  }
1435
1436  if (env.EnableDifftest || env.AlwaysBasicDiff) {
1437    // These are the structures used by difftest only and should be optimized after synthesis.
1438    val dt_eliminatedMove = Mem(RobSize, Bool())
1439    val dt_isRVC = Mem(RobSize, Bool())
1440    val dt_exuDebug = Reg(Vec(RobSize, new DebugBundle))
1441    for (i <- 0 until RenameWidth) {
1442      when(canEnqueue(i)) {
1443        dt_eliminatedMove(allocatePtrVec(i).value) := io.enq.req(i).bits.eliminatedMove
1444        dt_isRVC(allocatePtrVec(i).value) := io.enq.req(i).bits.preDecodeInfo.isRVC
1445      }
1446    }
1447    for (wb <- exuWBs) {
1448      when(wb.valid) {
1449        val wbIdx = wb.bits.robIdx.value
1450        dt_exuDebug(wbIdx) := wb.bits.debug
1451      }
1452    }
1453    // Always instantiate basic difftest modules.
1454    for (i <- 0 until CommitWidth) {
1455      val uop = commitDebugUop(i)
1456      val commitInfo = io.commits.info(i)
1457      val ptr = deqPtrVec(i).value
1458      val exuOut = dt_exuDebug(ptr)
1459      val eliminatedMove = dt_eliminatedMove(ptr)
1460      val isRVC = dt_isRVC(ptr)
1461
1462      val difftest = DifftestModule(new DiffInstrCommit(MaxPhyRegs), delay = 3, dontCare = true)
1463      val dt_skip = Mux(eliminatedMove, false.B, exuOut.isMMIO || exuOut.isPerfCnt)
1464      difftest.coreid := io.hartId
1465      difftest.index := i.U
1466      difftest.valid := io.commits.commitValid(i) && io.commits.isCommit
1467      difftest.skip := dt_skip
1468      difftest.isRVC := isRVC
1469      difftest.rfwen := io.commits.commitValid(i) && commitInfo.rfWen && commitInfo.debug_ldest.get =/= 0.U
1470      difftest.fpwen := io.commits.commitValid(i) && uop.fpWen
1471      difftest.wpdest := commitInfo.debug_pdest.get
1472      difftest.wdest := commitInfo.debug_ldest.get
1473      difftest.nFused := CommitType.isFused(commitInfo.commitType).asUInt + commitInfo.instrSize - 1.U
1474      when(difftest.valid) {
1475        assert(CommitType.isFused(commitInfo.commitType).asUInt + commitInfo.instrSize >= 1.U)
1476      }
1477      if (env.EnableDifftest) {
1478        val uop = commitDebugUop(i)
1479        difftest.pc := SignExt(uop.pc, XLEN)
1480        difftest.instr := uop.instr
1481        difftest.robIdx := ZeroExt(ptr, 10)
1482        difftest.lqIdx := ZeroExt(uop.lqIdx.value, 7)
1483        difftest.sqIdx := ZeroExt(uop.sqIdx.value, 7)
1484        difftest.isLoad := io.commits.info(i).commitType === CommitType.LOAD
1485        difftest.isStore := io.commits.info(i).commitType === CommitType.STORE
1486        // Check LoadEvent only when isAmo or isLoad and skip MMIO
1487        val difftestLoadEvent = DifftestModule(new DiffLoadEvent, delay = 3)
1488        difftestLoadEvent.coreid := io.hartId
1489        difftestLoadEvent.index := i.U
1490        val loadCheck = (FuType.isAMO(uop.fuType) || FuType.isLoad(uop.fuType)) && !dt_skip
1491        difftestLoadEvent.valid    := io.commits.commitValid(i) && io.commits.isCommit && loadCheck
1492        difftestLoadEvent.paddr    := exuOut.paddr
1493        difftestLoadEvent.opType   := uop.fuOpType
1494        difftestLoadEvent.isAtomic := FuType.isAMO(uop.fuType)
1495        difftestLoadEvent.isLoad   := FuType.isLoad(uop.fuType)
1496      }
1497    }
1498  }
1499
1500  if (env.EnableDifftest || env.AlwaysBasicDiff) {
1501    val dt_isXSTrap = Mem(RobSize, Bool())
1502    for (i <- 0 until RenameWidth) {
1503      when(canEnqueue(i)) {
1504        dt_isXSTrap(allocatePtrVec(i).value) := io.enq.req(i).bits.isXSTrap
1505      }
1506    }
1507    val trapVec = io.commits.commitValid.zip(deqPtrVec).map { case (v, d) =>
1508      io.commits.isCommit && v && dt_isXSTrap(d.value)
1509    }
1510    val hitTrap = trapVec.reduce(_ || _)
1511    val difftest = DifftestModule(new DiffTrapEvent, dontCare = true)
1512    difftest.coreid := io.hartId
1513    difftest.hasTrap := hitTrap
1514    difftest.cycleCnt := timer
1515    difftest.instrCnt := instrCnt
1516    difftest.hasWFI := hasWFI
1517
1518    if (env.EnableDifftest) {
1519      val trapCode = PriorityMux(wdata.zip(trapVec).map(x => x._2 -> x._1))
1520      val trapPC = SignExt(PriorityMux(wpc.zip(trapVec).map(x => x._2 -> x._1)), XLEN)
1521      difftest.code := trapCode
1522      difftest.pc := trapPC
1523    }
1524
1525    val diffCriticalErrorEvent = DifftestModule(new DiffCriticalErrorEvent)
1526    diffCriticalErrorEvent.valid := io.criticalError && !RegNext(io.criticalError)
1527    diffCriticalErrorEvent.coreid := io.hartId
1528    diffCriticalErrorEvent.criticalError := io.criticalError
1529  }
1530
1531  val commitMoveVec = VecInit(io.commits.commitValid.zip(commitIsMove).map { case (v, m) => v && m })
1532  val commitLoadVec = VecInit(commitLoadValid)
1533  val commitBranchVec = VecInit(commitBranchValid)
1534  val commitLoadWaitVec = VecInit(commitLoadValid.zip(commitLoadWaitBit).map { case (v, w) => v && w })
1535  val commitStoreVec = VecInit(io.commits.commitValid.zip(commitIsStore).map { case (v, t) => v && t })
1536  val perfEvents = Seq(
1537    ("rob_interrupt_num      ", io.flushOut.valid && intrEnable),
1538    ("rob_exception_num      ", io.flushOut.valid && deqHasException),
1539    ("rob_flush_pipe_num     ", io.flushOut.valid && isFlushPipe),
1540    ("rob_replay_inst_num    ", io.flushOut.valid && isFlushPipe && deqHasReplayInst),
1541    ("rob_commitUop          ", ifCommit(commitCnt)),
1542    ("rob_commitInstr        ", ifCommitReg(trueCommitCnt)),
1543    ("rob_commitInstrMove    ", ifCommitReg(PopCount(RegEnable(commitMoveVec, isCommit)))),
1544    ("rob_commitInstrFused   ", ifCommitReg(fuseCommitCnt)),
1545    ("rob_commitInstrLoad    ", ifCommitReg(PopCount(RegEnable(commitLoadVec, isCommit)))),
1546    ("rob_commitInstrBranch  ", ifCommitReg(PopCount(RegEnable(commitBranchVec, isCommit)))),
1547    ("rob_commitInstrLoadWait", ifCommitReg(PopCount(RegEnable(commitLoadWaitVec, isCommit)))),
1548    ("rob_commitInstrStore   ", ifCommitReg(PopCount(RegEnable(commitStoreVec, isCommit)))),
1549    ("rob_walkInstr          ", Mux(io.commits.isWalk, PopCount(io.commits.walkValid), 0.U)),
1550    ("rob_walkCycle          ", (state === s_walk)),
1551    ("rob_1_4_valid          ", numValidEntries <= (RobSize / 4).U),
1552    ("rob_2_4_valid          ", numValidEntries > (RobSize / 4).U && numValidEntries <= (RobSize / 2).U),
1553    ("rob_3_4_valid          ", numValidEntries > (RobSize / 2).U && numValidEntries <= (RobSize * 3 / 4).U),
1554    ("rob_4_4_valid          ", numValidEntries > (RobSize * 3 / 4).U),
1555  )
1556  generatePerfEvent()
1557
1558  // max commit-stuck cycle
1559  val deqismmio = Mux(robEntries(deqPtr.value).valid, robEntries(deqPtr.value).mmio, false.B)
1560  val commitStuck = (!io.commits.commitValid.reduce(_ || _) || !io.commits.isCommit) && !deqismmio
1561  val commitStuckCycle = RegInit(0.U(log2Up(maxCommitStuck).W))
1562  when(commitStuck) {
1563    commitStuckCycle := commitStuckCycle + 1.U
1564  }.elsewhen(!commitStuck && RegNext(commitStuck)) {
1565    commitStuckCycle := 0.U
1566  }
1567  // check if stuck > 2^maxCommitStuckCycle
1568  val commitStuck_overflow = commitStuckCycle.andR
1569  val criticalErrors = Seq(
1570    ("rob_commit_stuck  ", commitStuck_overflow),
1571  )
1572  generateCriticalErrors()
1573
1574
1575  // dontTouch for debug
1576  if (backendParams.debugEn) {
1577    dontTouch(enqPtrVec)
1578    dontTouch(deqPtrVec)
1579    dontTouch(robEntries)
1580    dontTouch(robDeqGroup)
1581    dontTouch(robBanks)
1582    dontTouch(robBanksRaddrThisLine)
1583    dontTouch(robBanksRaddrNextLine)
1584    dontTouch(robBanksRdataThisLine)
1585    dontTouch(robBanksRdataNextLine)
1586    dontTouch(robBanksRdataThisLineUpdate)
1587    dontTouch(robBanksRdataNextLineUpdate)
1588    dontTouch(needUpdate)
1589    val exceptionWBsVec = MixedVecInit(exceptionWBs)
1590    dontTouch(exceptionWBsVec)
1591    dontTouch(commit_wDeqGroup)
1592    dontTouch(commit_vDeqGroup)
1593    dontTouch(commitSizeSumSeq)
1594    dontTouch(walkSizeSumSeq)
1595    dontTouch(commitSizeSumCond)
1596    dontTouch(walkSizeSumCond)
1597    dontTouch(commitSizeSum)
1598    dontTouch(walkSizeSum)
1599    dontTouch(realDestSizeSeq)
1600    dontTouch(walkDestSizeSeq)
1601    dontTouch(io.commits)
1602    dontTouch(commitIsVTypeVec)
1603    dontTouch(walkIsVTypeVec)
1604    dontTouch(commitValidThisLine)
1605    dontTouch(commitReadAddr_next)
1606    dontTouch(donotNeedWalk)
1607    dontTouch(walkPtrVec_next)
1608    dontTouch(walkPtrVec)
1609    dontTouch(deqPtrVec_next)
1610    dontTouch(deqPtrVecForWalk)
1611    dontTouch(snapPtrReadBank)
1612    dontTouch(snapPtrVecForWalk)
1613    dontTouch(shouldWalkVec)
1614    dontTouch(walkFinished)
1615    dontTouch(changeBankAddrToDeqPtr)
1616  }
1617  if (env.EnableDifftest) {
1618    io.commits.info.map(info => dontTouch(info.debug_pc.get))
1619  }
1620}
1621