1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan.backend.rob 18 19import org.chipsalliance.cde.config.Parameters 20import chisel3._ 21import chisel3.util._ 22import difftest._ 23import freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 24import utility._ 25import utils._ 26import xiangshan._ 27import xiangshan.backend.BackendParams 28import xiangshan.backend.Bundles.{DynInst, ExceptionInfo, ExuOutput} 29import xiangshan.backend.fu.{FuConfig, FuType} 30import xiangshan.frontend.FtqPtr 31import xiangshan.mem.{LqPtr, LsqEnqIO, SqPtr} 32import xiangshan.backend.Bundles.{DynInst, ExceptionInfo, ExuOutput} 33import xiangshan.backend.ctrlblock.{DebugLSIO, DebugLsInfo, LsTopdownInfo} 34import xiangshan.backend.fu.vector.Bundles.VType 35import xiangshan.backend.rename.SnapshotGenerator 36import yunsuan.VfaluType 37import xiangshan.backend.rob.RobBundles._ 38 39class Rob(params: BackendParams)(implicit p: Parameters) extends LazyModule with HasXSParameter { 40 override def shouldBeInlined: Boolean = false 41 42 lazy val module = new RobImp(this)(p, params) 43} 44 45class RobImp(override val wrapper: Rob)(implicit p: Parameters, params: BackendParams) extends LazyModuleImp(wrapper) 46 with HasXSParameter with HasCircularQueuePtrHelper with HasPerfEvents { 47 48 private val LduCnt = params.LduCnt 49 private val StaCnt = params.StaCnt 50 private val HyuCnt = params.HyuCnt 51 52 val io = IO(new Bundle() { 53 val hartId = Input(UInt(hartIdLen.W)) 54 val redirect = Input(Valid(new Redirect)) 55 val enq = new RobEnqIO 56 val flushOut = ValidIO(new Redirect) 57 val exception = ValidIO(new ExceptionInfo) 58 // exu + brq 59 val writeback: MixedVec[ValidIO[ExuOutput]] = Flipped(params.genWrite2CtrlBundles) 60 val writebackNums = Flipped(Vec(writeback.size - params.StdCnt, ValidIO(UInt(writeback.size.U.getWidth.W)))) 61 val commits = Output(new RobCommitIO) 62 val rabCommits = Output(new RabCommitIO) 63 val diffCommits = if (backendParams.debugEn) Some(Output(new DiffCommitIO)) else None 64 val isVsetFlushPipe = Output(Bool()) 65 val lsq = new RobLsqIO 66 val robDeqPtr = Output(new RobPtr) 67 val csr = new RobCSRIO 68 val snpt = Input(new SnapshotPort) 69 val robFull = Output(Bool()) 70 val headNotReady = Output(Bool()) 71 val cpu_halt = Output(Bool()) 72 val wfi_enable = Input(Bool()) 73 val toDecode = new Bundle { 74 val isResumeVType = Output(Bool()) 75 val walkVType = ValidIO(VType()) 76 val commitVType = new Bundle { 77 val vtype = ValidIO(VType()) 78 val hasVsetvl = Output(Bool()) 79 } 80 } 81 val fromDecode = new Bundle { 82 val lastSpecVType = Flipped(Valid(new VType)) 83 val specVtype = Input(new VType) 84 } 85 val readGPAMemAddr = ValidIO(new Bundle { 86 val ftqPtr = new FtqPtr() 87 val ftqOffset = UInt(log2Up(PredictWidth).W) 88 }) 89 val readGPAMemData = Input(UInt(GPAddrBits.W)) 90 val vstartIsZero = Input(Bool()) 91 92 val debug_ls = Flipped(new DebugLSIO) 93 val debugRobHead = Output(new DynInst) 94 val debugEnqLsq = Input(new LsqEnqIO) 95 val debugHeadLsIssue = Input(Bool()) 96 val lsTopdownInfo = Vec(LduCnt + HyuCnt, Input(new LsTopdownInfo)) 97 val debugTopDown = new Bundle { 98 val toCore = new RobCoreTopDownIO 99 val toDispatch = new RobDispatchTopDownIO 100 val robHeadLqIdx = Valid(new LqPtr) 101 } 102 val debugRolling = new RobDebugRollingIO 103 }) 104 105 val exuWBs: Seq[ValidIO[ExuOutput]] = io.writeback.filter(!_.bits.params.hasStdFu).toSeq 106 val stdWBs: Seq[ValidIO[ExuOutput]] = io.writeback.filter(_.bits.params.hasStdFu).toSeq 107 val fflagsWBs = io.writeback.filter(x => x.bits.fflags.nonEmpty) 108 val exceptionWBs = io.writeback.filter(x => x.bits.exceptionVec.nonEmpty) 109 val redirectWBs = io.writeback.filter(x => x.bits.redirect.nonEmpty) 110 val vxsatWBs = io.writeback.filter(x => x.bits.vxsat.nonEmpty) 111 112 val numExuWbPorts = exuWBs.length 113 val numStdWbPorts = stdWBs.length 114 val bankAddrWidth = log2Up(CommitWidth) 115 116 println(s"Rob: size $RobSize, numExuWbPorts: $numExuWbPorts, numStdWbPorts: $numStdWbPorts, commitwidth: $CommitWidth") 117 118 val rab = Module(new RenameBuffer(RabSize)) 119 val vtypeBuffer = Module(new VTypeBuffer(VTypeBufferSize)) 120 val bankNum = 8 121 assert(RobSize % bankNum == 0, "RobSize % bankNum must be 0") 122 val robEntries = Reg(Vec(RobSize, new RobEntryBundle)) 123 // pointers 124 // For enqueue ptr, we don't duplicate it since only enqueue needs it. 125 val enqPtrVec = Wire(Vec(RenameWidth, new RobPtr)) 126 val deqPtrVec = Wire(Vec(CommitWidth, new RobPtr)) 127 val walkPtrVec = Reg(Vec(CommitWidth, new RobPtr)) 128 val walkPtrTrue = Reg(new RobPtr) 129 val lastWalkPtr = Reg(new RobPtr) 130 val allowEnqueue = RegInit(true.B) 131 132 /** 133 * Enqueue (from dispatch) 134 */ 135 // special cases 136 val hasBlockBackward = RegInit(false.B) 137 val hasWaitForward = RegInit(false.B) 138 val doingSvinval = RegInit(false.B) 139 val enqPtr = enqPtrVec(0) 140 val deqPtr = deqPtrVec(0) 141 val walkPtr = walkPtrVec(0) 142 val allocatePtrVec = VecInit((0 until RenameWidth).map(i => enqPtrVec(PopCount(io.enq.req.take(i).map(req => req.valid && req.bits.firstUop))))) 143 io.enq.canAccept := allowEnqueue && !hasBlockBackward && rab.io.canEnq && vtypeBuffer.io.canEnq 144 io.enq.resp := allocatePtrVec 145 val canEnqueue = VecInit(io.enq.req.map(req => req.valid && req.bits.firstUop && io.enq.canAccept)) 146 val timer = GTimer() 147 // robEntries enqueue 148 for (i <- 0 until RobSize) { 149 val enqOH = VecInit(canEnqueue.zip(allocatePtrVec.map(_.value === i.U)).map(x => x._1 && x._2)) 150 assert(PopCount(enqOH) < 2.U, s"robEntries$i enqOH is not one hot") 151 when(enqOH.asUInt.orR && !io.redirect.valid){ 152 connectEnq(robEntries(i), Mux1H(enqOH, io.enq.req.map(_.bits))) 153 } 154 } 155 // robBanks0 include robidx : 0 8 16 24 32 ... 156 val robBanks = VecInit((0 until bankNum).map(i => VecInit(robEntries.zipWithIndex.filter(_._2 % bankNum == i).map(_._1)))) 157 // each Bank has 20 Entries, read addr is one hot 158 // all banks use same raddr 159 val eachBankEntrieNum = robBanks(0).length 160 val robBanksRaddrThisLine = RegInit(1.U(eachBankEntrieNum.W)) 161 val robBanksRaddrNextLine = Wire(UInt(eachBankEntrieNum.W)) 162 robBanksRaddrThisLine := robBanksRaddrNextLine 163 val bankNumWidth = log2Up(bankNum) 164 val deqPtrWidth = deqPtr.value.getWidth 165 val robIdxThisLine = VecInit((0 until bankNum).map(i => Cat(deqPtr.value(deqPtrWidth - 1, bankNumWidth), i.U(bankNumWidth.W)))) 166 val robIdxNextLine = VecInit((0 until bankNum).map(i => Cat(deqPtr.value(deqPtrWidth - 1, bankNumWidth) + 1.U, i.U(bankNumWidth.W)))) 167 // robBanks read 168 val robBanksRdataThisLine = VecInit(robBanks.map{ case bank => 169 Mux1H(robBanksRaddrThisLine, bank) 170 }) 171 val robBanksRdataNextLine = VecInit(robBanks.map{ case bank => 172 val shiftBank = bank.drop(1) :+ bank(0) 173 Mux1H(robBanksRaddrThisLine, shiftBank) 174 }) 175 val robBanksRdataThisLineUpdate = Wire(Vec(CommitWidth, new RobEntryBundle)) 176 val robBanksRdataNextLineUpdate = Wire(Vec(CommitWidth, new RobEntryBundle)) 177 val commitValidThisLine = Wire(Vec(CommitWidth, Bool())) 178 val hasCommitted = RegInit(VecInit(Seq.fill(CommitWidth)(false.B))) 179 val donotNeedWalk = RegInit(VecInit(Seq.fill(CommitWidth)(false.B))) 180 val allCommitted = Wire(Bool()) 181 182 when(allCommitted) { 183 hasCommitted := 0.U.asTypeOf(hasCommitted) 184 }.elsewhen(io.commits.isCommit){ 185 for (i <- 0 until CommitWidth){ 186 hasCommitted(i) := commitValidThisLine(i) || hasCommitted(i) 187 } 188 } 189 allCommitted := io.commits.isCommit && commitValidThisLine.last 190 val walkPtrHead = Wire(new RobPtr) 191 val changeBankAddrToDeqPtr = (walkPtrVec.head + CommitWidth.U) > lastWalkPtr 192 when(io.redirect.valid){ 193 robBanksRaddrNextLine := UIntToOH(walkPtrHead.value(walkPtrHead.value.getWidth-1, bankAddrWidth)) 194 }.elsewhen(allCommitted || io.commits.isWalk && !changeBankAddrToDeqPtr){ 195 robBanksRaddrNextLine := Mux(robBanksRaddrThisLine.head(1) === 1.U, 1.U, robBanksRaddrThisLine << 1) 196 }.elsewhen(io.commits.isWalk && changeBankAddrToDeqPtr){ 197 robBanksRaddrNextLine := UIntToOH(deqPtr.value(deqPtr.value.getWidth-1, bankAddrWidth)) 198 }.otherwise( 199 robBanksRaddrNextLine := robBanksRaddrThisLine 200 ) 201 val robDeqGroup = Reg(Vec(bankNum, new RobCommitEntryBundle)) 202 val commitInfo = VecInit((0 until CommitWidth).map(i => robDeqGroup(deqPtrVec(i).value(bankAddrWidth-1,0)))).toSeq 203 val walkInfo = VecInit((0 until CommitWidth).map(i => robDeqGroup(walkPtrVec(i).value(bankAddrWidth-1, 0)))).toSeq 204 for (i <- 0 until CommitWidth) { 205 connectCommitEntry(robDeqGroup(i), robBanksRdataThisLineUpdate(i)) 206 when(allCommitted){ 207 connectCommitEntry(robDeqGroup(i), robBanksRdataNextLineUpdate(i)) 208 } 209 } 210 // data for debug 211 // Warn: debug_* prefix should not exist in generated verilog. 212 val debug_microOp = DebugMem(RobSize, new DynInst) 213 val debug_exuData = Reg(Vec(RobSize, UInt(XLEN.W))) //for debug 214 val debug_exuDebug = Reg(Vec(RobSize, new DebugBundle)) //for debug 215 val debug_lsInfo = RegInit(VecInit(Seq.fill(RobSize)(DebugLsInfo.init))) 216 val debug_lsTopdownInfo = RegInit(VecInit(Seq.fill(RobSize)(LsTopdownInfo.init))) 217 val debug_lqIdxValid = RegInit(VecInit.fill(RobSize)(false.B)) 218 val debug_lsIssued = RegInit(VecInit.fill(RobSize)(false.B)) 219 220 val isEmpty = enqPtr === deqPtr 221 val snptEnq = io.enq.canAccept && io.enq.req.map(x => x.valid && x.bits.snapshot).reduce(_ || _) 222 val snapshotPtrVec = Wire(Vec(CommitWidth, new RobPtr)) 223 snapshotPtrVec(0) := io.enq.req(0).bits.robIdx 224 for (i <- 1 until CommitWidth) { 225 snapshotPtrVec(i) := snapshotPtrVec(0) + i.U 226 } 227 val snapshots = SnapshotGenerator(snapshotPtrVec, snptEnq, io.snpt.snptDeq, io.redirect.valid, io.snpt.flushVec) 228 val debug_lsIssue = WireDefault(debug_lsIssued) 229 debug_lsIssue(deqPtr.value) := io.debugHeadLsIssue 230 231 /** 232 * states of Rob 233 */ 234 val s_idle :: s_walk :: Nil = Enum(2) 235 val state = RegInit(s_idle) 236 237 val exceptionGen = Module(new ExceptionGen(params)) 238 val exceptionDataRead = exceptionGen.io.state 239 val fflagsDataRead = Wire(Vec(CommitWidth, UInt(5.W))) 240 val vxsatDataRead = Wire(Vec(CommitWidth, Bool())) 241 io.robDeqPtr := deqPtr 242 io.debugRobHead := debug_microOp(deqPtr.value) 243 244 /** 245 * connection of [[rab]] 246 */ 247 rab.io.redirect.valid := io.redirect.valid 248 249 rab.io.req.zip(io.enq.req).map { case (dest, src) => 250 dest.bits := src.bits 251 dest.valid := src.valid && io.enq.canAccept 252 } 253 254 val walkDestSizeDeqGroup = RegInit(VecInit(Seq.fill(CommitWidth)(0.U(log2Up(MaxUopSize + 1).W)))) 255 val realDestSizeSeq = VecInit(robDeqGroup.zip(hasCommitted).map{case (r, h) => Mux(h, 0.U, r.realDestSize)}) 256 val walkDestSizeSeq = VecInit(robDeqGroup.zip(donotNeedWalk).map{case (r, d) => Mux(d, 0.U, r.realDestSize)}) 257 val commitSizeSumSeq = VecInit((0 until CommitWidth).map(i => realDestSizeSeq.take(i + 1).reduce(_ +& _))) 258 val walkSizeSumSeq = VecInit((0 until CommitWidth).map(i => walkDestSizeSeq.take(i + 1).reduce(_ +& _))) 259 val commitSizeSumCond = VecInit(commitValidThisLine.zip(hasCommitted).map{case (c,h) => (c || h) && io.commits.isCommit}) 260 val walkSizeSumCond = VecInit(io.commits.walkValid.zip(donotNeedWalk).map{case (w,d) => (w || d) && io.commits.isWalk}) 261 val commitSizeSum = PriorityMuxDefault(commitSizeSumCond.reverse.zip(commitSizeSumSeq.reverse), 0.U) 262 val walkSizeSum = PriorityMuxDefault(walkSizeSumCond.reverse.zip(walkSizeSumSeq.reverse), 0.U) 263 264 rab.io.fromRob.commitSize := commitSizeSum 265 rab.io.fromRob.walkSize := walkSizeSum 266 rab.io.snpt := io.snpt 267 rab.io.snpt.snptEnq := snptEnq 268 269 io.rabCommits := rab.io.commits 270 io.diffCommits.foreach(_ := rab.io.diffCommits.get) 271 272 /** 273 * connection of [[vtypeBuffer]] 274 */ 275 276 vtypeBuffer.io.redirect.valid := io.redirect.valid 277 278 vtypeBuffer.io.req.zip(io.enq.req).map { case (sink, source) => 279 sink.valid := source.valid && io.enq.canAccept 280 sink.bits := source.bits 281 } 282 283 private val commitIsVTypeVec = VecInit(io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => io.commits.isCommit && valid && info.isVset }) 284 private val walkIsVTypeVec = VecInit(io.commits.walkValid.zip(walkInfo).map { case (valid, info) => io.commits.isWalk && valid && info.isVset }) 285 vtypeBuffer.io.fromRob.commitSize := PopCount(commitIsVTypeVec) 286 vtypeBuffer.io.fromRob.walkSize := PopCount(walkIsVTypeVec) 287 vtypeBuffer.io.snpt := io.snpt 288 vtypeBuffer.io.snpt.snptEnq := snptEnq 289 io.toDecode.isResumeVType := vtypeBuffer.io.toDecode.isResumeVType 290 io.toDecode.commitVType := vtypeBuffer.io.toDecode.commitVType 291 io.toDecode.walkVType := vtypeBuffer.io.toDecode.walkVType 292 vtypeBuffer.io.fromDecode.lastSpecVType := io.fromDecode.lastSpecVType 293 vtypeBuffer.io.fromDecode.specVtype := io.fromDecode.specVtype 294 295 // When blockBackward instruction leaves Rob (commit or walk), hasBlockBackward should be set to false.B 296 // To reduce registers usage, for hasBlockBackward cases, we allow enqueue after ROB is empty. 297 when(isEmpty) { 298 hasBlockBackward := false.B 299 } 300 // When any instruction commits, hasNoSpecExec should be set to false.B 301 when(io.commits.hasWalkInstr || io.commits.hasCommitInstr) { 302 hasWaitForward := false.B 303 } 304 305 // The wait-for-interrupt (WFI) instruction waits in the ROB until an interrupt might need servicing. 306 // io.csr.wfiEvent will be asserted if the WFI can resume execution, and we change the state to s_wfi_idle. 307 // It does not affect how interrupts are serviced. Note that WFI is noSpecExec and it does not trigger interrupts. 308 val hasWFI = RegInit(false.B) 309 io.cpu_halt := hasWFI 310 // WFI Timeout: 2^20 = 1M cycles 311 val wfi_cycles = RegInit(0.U(20.W)) 312 when(hasWFI) { 313 wfi_cycles := wfi_cycles + 1.U 314 }.elsewhen(!hasWFI && RegNext(hasWFI)) { 315 wfi_cycles := 0.U 316 } 317 val wfi_timeout = wfi_cycles.andR 318 when(RegNext(RegNext(io.csr.wfiEvent)) || io.flushOut.valid || wfi_timeout) { 319 hasWFI := false.B 320 } 321 322 for (i <- 0 until RenameWidth) { 323 // we don't check whether io.redirect is valid here since redirect has higher priority 324 when(canEnqueue(i)) { 325 val enqUop = io.enq.req(i).bits 326 val enqIndex = allocatePtrVec(i).value 327 // store uop in data module and debug_microOp Vec 328 debug_microOp(enqIndex) := enqUop 329 debug_microOp(enqIndex).debugInfo.dispatchTime := timer 330 debug_microOp(enqIndex).debugInfo.enqRsTime := timer 331 debug_microOp(enqIndex).debugInfo.selectTime := timer 332 debug_microOp(enqIndex).debugInfo.issueTime := timer 333 debug_microOp(enqIndex).debugInfo.writebackTime := timer 334 debug_microOp(enqIndex).debugInfo.tlbFirstReqTime := timer 335 debug_microOp(enqIndex).debugInfo.tlbRespTime := timer 336 debug_lsInfo(enqIndex) := DebugLsInfo.init 337 debug_lsTopdownInfo(enqIndex) := LsTopdownInfo.init 338 debug_lqIdxValid(enqIndex) := false.B 339 debug_lsIssued(enqIndex) := false.B 340 when (enqUop.waitForward) { 341 hasWaitForward := true.B 342 } 343 val enqHasTriggerCanFire = io.enq.req(i).bits.trigger.getFrontendCanFire 344 val enqHasException = ExceptionNO.selectFrontend(enqUop.exceptionVec).asUInt.orR 345 // the begin instruction of Svinval enqs so mark doingSvinval as true to indicate this process 346 when(!enqHasTriggerCanFire && !enqHasException && enqUop.isSvinvalBegin(enqUop.flushPipe)) { 347 doingSvinval := true.B 348 } 349 // the end instruction of Svinval enqs so clear doingSvinval 350 when(!enqHasTriggerCanFire && !enqHasException && enqUop.isSvinvalEnd(enqUop.flushPipe)) { 351 doingSvinval := false.B 352 } 353 // when we are in the process of Svinval software code area , only Svinval.vma and end instruction of Svinval can appear 354 assert(!doingSvinval || (enqUop.isSvinval(enqUop.flushPipe) || enqUop.isSvinvalEnd(enqUop.flushPipe))) 355 when(enqUop.isWFI && !enqHasException && !enqHasTriggerCanFire) { 356 hasWFI := true.B 357 } 358 359 robEntries(enqIndex).mmio := false.B 360 robEntries(enqIndex).vls := enqUop.vlsInstr 361 } 362 } 363 364 for (i <- 0 until RenameWidth) { 365 val enqUop = io.enq.req(i) 366 when(enqUop.valid && enqUop.bits.blockBackward && io.enq.canAccept) { 367 hasBlockBackward := true.B 368 } 369 } 370 371 val dispatchNum = Mux(io.enq.canAccept, PopCount(io.enq.req.map(req => req.valid && req.bits.firstUop)), 0.U) 372 io.enq.isEmpty := RegNext(isEmpty && !VecInit(io.enq.req.map(_.valid)).asUInt.orR) 373 374 when(!io.wfi_enable) { 375 hasWFI := false.B 376 } 377 // sel vsetvl's flush position 378 val vs_idle :: vs_waitVinstr :: vs_waitFlush :: Nil = Enum(3) 379 val vsetvlState = RegInit(vs_idle) 380 381 val firstVInstrFtqPtr = RegInit(0.U.asTypeOf(new FtqPtr)) 382 val firstVInstrFtqOffset = RegInit(0.U.asTypeOf(UInt(log2Up(PredictWidth).W))) 383 val firstVInstrRobIdx = RegInit(0.U.asTypeOf(new RobPtr)) 384 385 val enq0 = io.enq.req(0) 386 val enq0IsVset = enq0.bits.isVset && enq0.bits.lastUop && canEnqueue(0) 387 val enq0IsVsetFlush = enq0IsVset && enq0.bits.flushPipe 388 val enqIsVInstrVec = io.enq.req.zip(canEnqueue).map { case (req, fire) => FuType.isVArith(req.bits.fuType) && fire } 389 // for vs_idle 390 val firstVInstrIdle = PriorityMux(enqIsVInstrVec.zip(io.enq.req).drop(1) :+ (true.B, 0.U.asTypeOf(io.enq.req(0).cloneType))) 391 // for vs_waitVinstr 392 val enqIsVInstrOrVset = (enqIsVInstrVec(0) || enq0IsVset) +: enqIsVInstrVec.drop(1) 393 val firstVInstrWait = PriorityMux(enqIsVInstrOrVset, io.enq.req) 394 when(vsetvlState === vs_idle) { 395 firstVInstrFtqPtr := firstVInstrIdle.bits.ftqPtr 396 firstVInstrFtqOffset := firstVInstrIdle.bits.ftqOffset 397 firstVInstrRobIdx := firstVInstrIdle.bits.robIdx 398 }.elsewhen(vsetvlState === vs_waitVinstr) { 399 when(Cat(enqIsVInstrOrVset).orR) { 400 firstVInstrFtqPtr := firstVInstrWait.bits.ftqPtr 401 firstVInstrFtqOffset := firstVInstrWait.bits.ftqOffset 402 firstVInstrRobIdx := firstVInstrWait.bits.robIdx 403 } 404 } 405 406 val hasVInstrAfterI = Cat(enqIsVInstrVec(0)).orR 407 when(vsetvlState === vs_idle && !io.redirect.valid) { 408 when(enq0IsVsetFlush) { 409 vsetvlState := Mux(hasVInstrAfterI, vs_waitFlush, vs_waitVinstr) 410 } 411 }.elsewhen(vsetvlState === vs_waitVinstr) { 412 when(io.redirect.valid) { 413 vsetvlState := vs_idle 414 }.elsewhen(Cat(enqIsVInstrOrVset).orR) { 415 vsetvlState := vs_waitFlush 416 } 417 }.elsewhen(vsetvlState === vs_waitFlush) { 418 when(io.redirect.valid) { 419 vsetvlState := vs_idle 420 } 421 } 422 423 // lqEnq 424 io.debugEnqLsq.needAlloc.map(_(0)).zip(io.debugEnqLsq.req).foreach { case (alloc, req) => 425 when(io.debugEnqLsq.canAccept && alloc && req.valid) { 426 debug_microOp(req.bits.robIdx.value).lqIdx := req.bits.lqIdx 427 debug_lqIdxValid(req.bits.robIdx.value) := true.B 428 } 429 } 430 431 // lsIssue 432 when(io.debugHeadLsIssue) { 433 debug_lsIssued(deqPtr.value) := true.B 434 } 435 436 /** 437 * Writeback (from execution units) 438 */ 439 for (wb <- exuWBs) { 440 when(wb.valid) { 441 val wbIdx = wb.bits.robIdx.value 442 debug_exuData(wbIdx) := wb.bits.data(0) 443 debug_exuDebug(wbIdx) := wb.bits.debug 444 debug_microOp(wbIdx).debugInfo.enqRsTime := wb.bits.debugInfo.enqRsTime 445 debug_microOp(wbIdx).debugInfo.selectTime := wb.bits.debugInfo.selectTime 446 debug_microOp(wbIdx).debugInfo.issueTime := wb.bits.debugInfo.issueTime 447 debug_microOp(wbIdx).debugInfo.writebackTime := wb.bits.debugInfo.writebackTime 448 449 // debug for lqidx and sqidx 450 debug_microOp(wbIdx).lqIdx := wb.bits.lqIdx.getOrElse(0.U.asTypeOf(new LqPtr)) 451 debug_microOp(wbIdx).sqIdx := wb.bits.sqIdx.getOrElse(0.U.asTypeOf(new SqPtr)) 452 453 val debug_Uop = debug_microOp(wbIdx) 454 XSInfo(true.B, 455 p"writebacked pc 0x${Hexadecimal(debug_Uop.pc)} wen ${debug_Uop.rfWen} " + 456 p"data 0x${Hexadecimal(wb.bits.data(0))} ldst ${debug_Uop.ldest} pdst ${debug_Uop.pdest} " + 457 p"skip ${wb.bits.debug.isMMIO} robIdx: ${wb.bits.robIdx}\n" 458 ) 459 } 460 } 461 462 val writebackNum = PopCount(exuWBs.map(_.valid)) 463 XSInfo(writebackNum =/= 0.U, "writebacked %d insts\n", writebackNum) 464 465 for (i <- 0 until LoadPipelineWidth) { 466 when(RegNext(io.lsq.mmio(i))) { 467 robEntries(RegEnable(io.lsq.uop(i).robIdx, io.lsq.mmio(i)).value).mmio := true.B 468 } 469 } 470 471 472 /** 473 * RedirectOut: Interrupt and Exceptions 474 */ 475 val deqDispatchData = robEntries(deqPtr.value) 476 val debug_deqUop = debug_microOp(deqPtr.value) 477 478 val intrBitSetReg = RegNext(io.csr.intrBitSet) 479 val intrEnable = intrBitSetReg && !hasWaitForward && robDeqGroup(deqPtr.value(bankAddrWidth-1,0)).interrupt_safe 480 val deqHasExceptionOrFlush = exceptionDataRead.valid && exceptionDataRead.bits.robIdx === deqPtr 481 val deqHasException = deqHasExceptionOrFlush && (exceptionDataRead.bits.exceptionVec.asUInt.orR || 482 exceptionDataRead.bits.singleStep || exceptionDataRead.bits.trigger.canFire) 483 val deqHasFlushPipe = deqHasExceptionOrFlush && exceptionDataRead.bits.flushPipe 484 val deqHasReplayInst = deqHasExceptionOrFlush && exceptionDataRead.bits.replayInst 485 val exceptionEnable = robEntries(deqPtr.value).isWritebacked && deqHasException 486 487 XSDebug(deqHasException && exceptionDataRead.bits.singleStep, "Debug Mode: Deq has singlestep exception\n") 488 XSDebug(deqHasException && exceptionDataRead.bits.trigger.getFrontendCanFire, "Debug Mode: Deq has frontend trigger exception\n") 489 XSDebug(deqHasException && exceptionDataRead.bits.trigger.getBackendCanFire, "Debug Mode: Deq has backend trigger exception\n") 490 491 val isFlushPipe = robEntries(deqPtr.value).isWritebacked && (deqHasFlushPipe || deqHasReplayInst) 492 493 val isVsetFlushPipe = robEntries(deqPtr.value).isWritebacked && deqHasFlushPipe && exceptionDataRead.bits.isVset 494 // val needModifyFtqIdxOffset = isVsetFlushPipe && (vsetvlState === vs_waitFlush) 495 val needModifyFtqIdxOffset = false.B 496 io.isVsetFlushPipe := isVsetFlushPipe 497 // io.flushOut will trigger redirect at the next cycle. 498 // Block any redirect or commit at the next cycle. 499 val lastCycleFlush = RegNext(io.flushOut.valid) 500 501 io.flushOut.valid := (state === s_idle) && robEntries(deqPtr.value).valid && (intrEnable || exceptionEnable || isFlushPipe) && !lastCycleFlush 502 io.flushOut.bits := DontCare 503 io.flushOut.bits.isRVC := deqDispatchData.isRVC 504 io.flushOut.bits.robIdx := Mux(needModifyFtqIdxOffset, firstVInstrRobIdx, deqPtr) 505 io.flushOut.bits.ftqIdx := Mux(needModifyFtqIdxOffset, firstVInstrFtqPtr, deqDispatchData.ftqIdx) 506 io.flushOut.bits.ftqOffset := Mux(needModifyFtqIdxOffset, firstVInstrFtqOffset, deqDispatchData.ftqOffset) 507 io.flushOut.bits.level := Mux(deqHasReplayInst || intrEnable || exceptionEnable || needModifyFtqIdxOffset, RedirectLevel.flush, RedirectLevel.flushAfter) // TODO use this to implement "exception next" 508 io.flushOut.bits.interrupt := true.B 509 XSPerfAccumulate("interrupt_num", io.flushOut.valid && intrEnable) 510 XSPerfAccumulate("exception_num", io.flushOut.valid && exceptionEnable) 511 XSPerfAccumulate("flush_pipe_num", io.flushOut.valid && isFlushPipe) 512 XSPerfAccumulate("replay_inst_num", io.flushOut.valid && isFlushPipe && deqHasReplayInst) 513 514 val exceptionHappen = (state === s_idle) && robEntries(deqPtr.value).valid && (intrEnable || exceptionEnable) && !lastCycleFlush 515 io.exception.valid := RegNext(exceptionHappen) 516 io.exception.bits.pc := RegEnable(debug_deqUop.pc, exceptionHappen) 517 io.exception.bits.gpaddr := io.readGPAMemData 518 io.exception.bits.instr := RegEnable(debug_deqUop.instr, exceptionHappen) 519 io.exception.bits.commitType := RegEnable(deqDispatchData.commitType, exceptionHappen) 520 io.exception.bits.exceptionVec := RegEnable(exceptionDataRead.bits.exceptionVec, exceptionHappen) 521 io.exception.bits.singleStep := RegEnable(exceptionDataRead.bits.singleStep, exceptionHappen) 522 io.exception.bits.crossPageIPFFix := RegEnable(exceptionDataRead.bits.crossPageIPFFix, exceptionHappen) 523 io.exception.bits.isInterrupt := RegEnable(intrEnable, exceptionHappen) 524 io.exception.bits.isHls := RegEnable(deqDispatchData.isHls, exceptionHappen) 525 io.exception.bits.vls := RegEnable(robEntries(deqPtr.value).vls, exceptionHappen) 526 io.exception.bits.trigger := RegEnable(exceptionDataRead.bits.trigger, exceptionHappen) 527 528 // data will be one cycle after valid 529 io.readGPAMemAddr.valid := exceptionHappen 530 io.readGPAMemAddr.bits.ftqPtr := exceptionDataRead.bits.ftqPtr 531 io.readGPAMemAddr.bits.ftqOffset := exceptionDataRead.bits.ftqOffset 532 533 XSDebug(io.flushOut.valid, 534 p"generate redirect: pc 0x${Hexadecimal(io.exception.bits.pc)} intr $intrEnable " + 535 p"excp $exceptionEnable flushPipe $isFlushPipe " + 536 p"Trap_target 0x${Hexadecimal(io.csr.trapTarget)} exceptionVec ${Binary(exceptionDataRead.bits.exceptionVec.asUInt)}\n") 537 538 539 /** 540 * Commits (and walk) 541 * They share the same width. 542 */ 543 // T redirect.valid, T+1 use walkPtrVec read robEntries, T+2 start walk, shouldWalkVec used in T+2 544 val shouldWalkVec = Wire(Vec(CommitWidth,Bool())) 545 val walkingPtrVec = RegNext(walkPtrVec) 546 when(io.redirect.valid){ 547 shouldWalkVec := 0.U.asTypeOf(shouldWalkVec) 548 }.elsewhen(RegNext(io.redirect.valid)){ 549 shouldWalkVec := 0.U.asTypeOf(shouldWalkVec) 550 }.elsewhen(state === s_walk){ 551 shouldWalkVec := VecInit(walkingPtrVec.map(_ <= lastWalkPtr).zip(donotNeedWalk).map(x => x._1 && !x._2)) 552 }.otherwise( 553 shouldWalkVec := 0.U.asTypeOf(shouldWalkVec) 554 ) 555 val walkFinished = walkPtrTrue > lastWalkPtr 556 rab.io.fromRob.walkEnd := state === s_walk && walkFinished 557 vtypeBuffer.io.fromRob.walkEnd := state === s_walk && walkFinished 558 559 require(RenameWidth <= CommitWidth) 560 561 // wiring to csr 562 val (wflags, dirtyFs) = (0 until CommitWidth).map(i => { 563 val v = io.commits.commitValid(i) 564 val info = io.commits.info(i) 565 (v & info.wflags, v & info.dirtyFs) 566 }).unzip 567 val fflags = Wire(Valid(UInt(5.W))) 568 fflags.valid := io.commits.isCommit && VecInit(wflags).asUInt.orR 569 fflags.bits := wflags.zip(fflagsDataRead).map({ 570 case (w, f) => Mux(w, f, 0.U) 571 }).reduce(_ | _) 572 val dirtyVs = (0 until CommitWidth).map(i => { 573 val v = io.commits.commitValid(i) 574 val info = io.commits.info(i) 575 v & info.dirtyVs 576 }) 577 val dirty_fs = io.commits.isCommit && VecInit(dirtyFs).asUInt.orR 578 val dirty_vs = io.commits.isCommit && VecInit(dirtyVs).asUInt.orR 579 580 val resetVstart = dirty_vs && !io.vstartIsZero 581 582 io.csr.vstart.valid := RegNext(Mux(exceptionHappen, exceptionDataRead.bits.vstartEn, resetVstart)) 583 io.csr.vstart.bits := RegNext(Mux(exceptionHappen, exceptionDataRead.bits.vstart, 0.U)) 584 585 val vxsat = Wire(Valid(Bool())) 586 vxsat.valid := io.commits.isCommit && vxsat.bits 587 vxsat.bits := io.commits.commitValid.zip(vxsatDataRead).map { 588 case (valid, vxsat) => valid & vxsat 589 }.reduce(_ | _) 590 591 // when mispredict branches writeback, stop commit in the next 2 cycles 592 // TODO: don't check all exu write back 593 val misPredWb = Cat(VecInit(redirectWBs.map(wb => 594 wb.bits.redirect.get.bits.cfiUpdate.isMisPred && wb.bits.redirect.get.valid && wb.valid 595 ).toSeq)).orR 596 val misPredBlockCounter = Reg(UInt(3.W)) 597 misPredBlockCounter := Mux(misPredWb, 598 "b111".U, 599 misPredBlockCounter >> 1.U 600 ) 601 val misPredBlock = misPredBlockCounter(0) 602 val blockCommit = misPredBlock || lastCycleFlush || hasWFI || io.redirect.valid 603 604 io.commits.isWalk := state === s_walk 605 io.commits.isCommit := state === s_idle && !blockCommit 606 607 val walk_v = VecInit(walkingPtrVec.map(ptr => robEntries(ptr.value).valid)) 608 val commit_vDeqGroup = VecInit(robDeqGroup.map(_.commit_v)) 609 val commit_wDeqGroup = VecInit(robDeqGroup.map(_.commit_w)) 610 val realCommitLast = deqPtrVec(0).lineHeadPtr + Fill(bankAddrWidth, 1.U) 611 val commit_exception = exceptionDataRead.valid && !isAfter(exceptionDataRead.bits.robIdx, realCommitLast) 612 val commit_block = VecInit((0 until CommitWidth).map(i => !commit_wDeqGroup(i) && !hasCommitted(i))) 613 val allowOnlyOneCommit = commit_exception || intrBitSetReg 614 // for instructions that may block others, we don't allow them to commit 615 io.commits.commitValid := PriorityMux(commitValidThisLine, (0 until CommitWidth).map(i => (commitValidThisLine.asUInt >> i).asUInt.asTypeOf(io.commits.commitValid))) 616 for (i <- 0 until CommitWidth) { 617 // defaults: state === s_idle and instructions commit 618 // when intrBitSetReg, allow only one instruction to commit at each clock cycle 619 val isBlocked = intrEnable || deqHasException || deqHasReplayInst 620 val isBlockedByOlder = if (i != 0) commit_block.asUInt(i, 0).orR || allowOnlyOneCommit && !hasCommitted.asUInt(i - 1, 0).andR else false.B 621 commitValidThisLine(i) := commit_vDeqGroup(i) && commit_wDeqGroup(i) && !isBlocked && !isBlockedByOlder && !hasCommitted(i) 622 io.commits.info(i) := commitInfo(i) 623 io.commits.robIdx(i) := deqPtrVec(i) 624 625 io.commits.walkValid(i) := shouldWalkVec(i) 626 when(state === s_walk) { 627 when(io.commits.isWalk && state === s_walk && shouldWalkVec(i)) { 628 XSError(!walk_v(i), s"The walking entry($i) should be valid\n") 629 } 630 } 631 632 XSInfo(io.commits.isCommit && io.commits.commitValid(i), 633 "retired pc %x wen %d ldest %d pdest %x data %x fflags: %b vxsat: %b\n", 634 debug_microOp(deqPtrVec(i).value).pc, 635 io.commits.info(i).rfWen, 636 io.commits.info(i).debug_ldest.getOrElse(0.U), 637 io.commits.info(i).debug_pdest.getOrElse(0.U), 638 debug_exuData(deqPtrVec(i).value), 639 fflagsDataRead(i), 640 vxsatDataRead(i) 641 ) 642 XSInfo(state === s_walk && io.commits.walkValid(i), "walked pc %x wen %d ldst %d data %x\n", 643 debug_microOp(walkPtrVec(i).value).pc, 644 io.commits.info(i).rfWen, 645 io.commits.info(i).debug_ldest.getOrElse(0.U), 646 debug_exuData(walkPtrVec(i).value) 647 ) 648 } 649 650 // sync fflags/dirty_fs/vxsat to csr 651 io.csr.fflags := RegNext(fflags) 652 io.csr.dirty_fs := RegNext(dirty_fs) 653 io.csr.dirty_vs := RegNext(dirty_vs) 654 io.csr.vxsat := RegNext(vxsat) 655 656 // commit load/store to lsq 657 val ldCommitVec = VecInit((0 until CommitWidth).map(i => io.commits.commitValid(i) && io.commits.info(i).commitType === CommitType.LOAD)) 658 // TODO: Check if meet the require that only set scommit when commit scala store uop 659 val stCommitVec = VecInit((0 until CommitWidth).map(i => io.commits.commitValid(i) && io.commits.info(i).commitType === CommitType.STORE && !robEntries(deqPtrVec(i).value).vls )) 660 val deqPtrVec_next = Wire(Vec(CommitWidth, Output(new RobPtr))) 661 io.lsq.lcommit := RegNext(Mux(io.commits.isCommit, PopCount(ldCommitVec), 0.U)) 662 io.lsq.scommit := RegNext(Mux(io.commits.isCommit, PopCount(stCommitVec), 0.U)) 663 // indicate a pending load or store 664 io.lsq.pendingld := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.LOAD && robEntries(deqPtr.value).valid && robEntries(deqPtr.value).mmio) 665 // TODO: Check if need deassert pendingst when it is vst 666 io.lsq.pendingst := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.STORE && robEntries(deqPtr.value).valid) 667 // TODO: Check if set correctly when vector store is at the head of ROB 668 io.lsq.pendingVst := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.STORE && robEntries(deqPtr.value).valid && robEntries(deqPtr.value).vls) 669 io.lsq.commit := RegNext(io.commits.isCommit && io.commits.commitValid(0)) 670 io.lsq.pendingPtr := RegNext(deqPtr) 671 io.lsq.pendingPtrNext := RegNext(deqPtrVec_next.head) 672 673 /** 674 * state changes 675 * (1) redirect: switch to s_walk 676 * (2) walk: when walking comes to the end, switch to s_idle 677 */ 678 val state_next = Mux( 679 io.redirect.valid || RegNext(io.redirect.valid), s_walk, 680 Mux( 681 state === s_walk && walkFinished && rab.io.status.walkEnd && vtypeBuffer.io.status.walkEnd, s_idle, 682 state 683 ) 684 ) 685 XSPerfAccumulate("s_idle_to_idle", state === s_idle && state_next === s_idle) 686 XSPerfAccumulate("s_idle_to_walk", state === s_idle && state_next === s_walk) 687 XSPerfAccumulate("s_walk_to_idle", state === s_walk && state_next === s_idle) 688 XSPerfAccumulate("s_walk_to_walk", state === s_walk && state_next === s_walk) 689 state := state_next 690 691 /** 692 * pointers and counters 693 */ 694 val deqPtrGenModule = Module(new NewRobDeqPtrWrapper) 695 deqPtrGenModule.io.state := state 696 deqPtrGenModule.io.deq_v := commit_vDeqGroup 697 deqPtrGenModule.io.deq_w := commit_wDeqGroup 698 deqPtrGenModule.io.exception_state := exceptionDataRead 699 deqPtrGenModule.io.intrBitSetReg := intrBitSetReg 700 deqPtrGenModule.io.hasNoSpecExec := hasWaitForward 701 deqPtrGenModule.io.interrupt_safe := robDeqGroup(deqPtr.value(bankAddrWidth-1,0)).interrupt_safe 702 deqPtrGenModule.io.blockCommit := blockCommit 703 deqPtrGenModule.io.hasCommitted := hasCommitted 704 deqPtrGenModule.io.allCommitted := allCommitted 705 deqPtrVec := deqPtrGenModule.io.out 706 deqPtrVec_next := deqPtrGenModule.io.next_out 707 708 val enqPtrGenModule = Module(new RobEnqPtrWrapper) 709 enqPtrGenModule.io.redirect := io.redirect 710 enqPtrGenModule.io.allowEnqueue := allowEnqueue && rab.io.canEnq 711 enqPtrGenModule.io.hasBlockBackward := hasBlockBackward 712 enqPtrGenModule.io.enq := VecInit(io.enq.req.map(req => req.valid && req.bits.firstUop)) 713 enqPtrVec := enqPtrGenModule.io.out 714 715 // next walkPtrVec: 716 // (1) redirect occurs: update according to state 717 // (2) walk: move forwards 718 val deqPtrReadBank = deqPtrVec_next(0).lineHeadPtr 719 val deqPtrVecForWalk = VecInit((0 until CommitWidth).map(i => deqPtrReadBank + i.U)) 720 val snapPtrReadBank = snapshots(io.snpt.snptSelect)(0).lineHeadPtr 721 val snapPtrVecForWalk = VecInit((0 until CommitWidth).map(i => snapPtrReadBank + i.U)) 722 val walkPtrVec_next: Vec[RobPtr] = Mux(io.redirect.valid, 723 Mux(io.snpt.useSnpt, snapPtrVecForWalk, deqPtrVecForWalk), 724 Mux((state === s_walk) && !walkFinished, VecInit(walkPtrVec.map(_ + CommitWidth.U)), walkPtrVec) 725 ) 726 val walkPtrTrue_next: RobPtr = Mux(io.redirect.valid, 727 Mux(io.snpt.useSnpt, snapshots(io.snpt.snptSelect)(0), deqPtrVec_next(0)), 728 Mux((state === s_walk) && !walkFinished, walkPtrVec_next.head, walkPtrTrue) 729 ) 730 walkPtrHead := walkPtrVec_next.head 731 walkPtrVec := walkPtrVec_next 732 walkPtrTrue := walkPtrTrue_next 733 // T io.redirect.valid, T+1 walkPtrLowBits update, T+2 donotNeedWalk update 734 val walkPtrLowBits = Reg(UInt(bankAddrWidth.W)) 735 when(io.redirect.valid){ 736 walkPtrLowBits := Mux(io.snpt.useSnpt, snapshots(io.snpt.snptSelect)(0).value(bankAddrWidth-1, 0), deqPtrVec_next(0).value(bankAddrWidth-1, 0)) 737 } 738 when(io.redirect.valid) { 739 donotNeedWalk := Fill(donotNeedWalk.length, true.B).asTypeOf(donotNeedWalk) 740 }.elsewhen(RegNext(io.redirect.valid)){ 741 donotNeedWalk := (0 until CommitWidth).map(i => (i.U < walkPtrLowBits)) 742 }.otherwise{ 743 donotNeedWalk := 0.U.asTypeOf(donotNeedWalk) 744 } 745 walkDestSizeDeqGroup.zip(walkPtrVec_next).map { 746 case (reg, ptrNext) => reg := robEntries(deqPtr.value).realDestSize 747 } 748 val numValidEntries = distanceBetween(enqPtr, deqPtr) 749 val commitCnt = PopCount(io.commits.commitValid) 750 751 allowEnqueue := numValidEntries + dispatchNum <= (RobSize - CommitWidth).U 752 753 val redirectWalkDistance = distanceBetween(io.redirect.bits.robIdx, deqPtrVec_next(0)) 754 when(io.redirect.valid) { 755 lastWalkPtr := Mux(io.redirect.bits.flushItself(), io.redirect.bits.robIdx - 1.U, io.redirect.bits.robIdx) 756 } 757 758 759 /** 760 * States 761 * We put all the stage bits changes here. 762 * 763 * All events: (1) enqueue (dispatch); (2) writeback; (3) cancel; (4) dequeue (commit); 764 * All states: (1) valid; (2) writebacked; (3) flagBkup 765 */ 766 767 val deqPtrGroup = Wire(Vec(2 * CommitWidth, new RobPtr)) 768 deqPtrGroup.zipWithIndex.map { case (deq, i) => deq := deqPtrVec(0) + i.U } 769 val commitReadAddr = Mux(state === s_idle, VecInit(deqPtrVec.map(_.value)), VecInit(walkPtrVec.map(_.value))) 770 771 val redirectValidReg = RegNext(io.redirect.valid) 772 val redirectBegin = Reg(UInt(log2Up(RobSize).W)) 773 val redirectEnd = Reg(UInt(log2Up(RobSize).W)) 774 when(io.redirect.valid){ 775 redirectBegin := Mux(io.redirect.bits.flushItself(), io.redirect.bits.robIdx.value - 1.U, io.redirect.bits.robIdx.value) 776 redirectEnd := enqPtr.value 777 } 778 779 // update robEntries valid 780 for (i <- 0 until RobSize) { 781 val enqOH = VecInit(canEnqueue.zip(allocatePtrVec.map(_.value === i.U)).map(x => x._1 && x._2)) 782 val commitCond = io.commits.isCommit && io.commits.commitValid.zip(deqPtrVec.map(_.value === i.U)).map(x => x._1 && x._2).reduce(_ || _) 783 assert(PopCount(enqOH) < 2.U, s"robEntries$i enqOH is not one hot") 784 val needFlush = redirectValidReg && Mux( 785 redirectEnd > redirectBegin, 786 (i.U > redirectBegin) && (i.U < redirectEnd), 787 (i.U > redirectBegin) || (i.U < redirectEnd) 788 ) 789 when(reset.asBool) { 790 robEntries(i).valid := false.B 791 }.elsewhen(commitCond) { 792 robEntries(i).valid := false.B 793 }.elsewhen(enqOH.asUInt.orR && !io.redirect.valid) { 794 robEntries(i).valid := true.B 795 }.elsewhen(needFlush){ 796 robEntries(i).valid := false.B 797 } 798 } 799 800 // debug_inst update 801 for (i <- 0 until (LduCnt + StaCnt)) { 802 debug_lsInfo(io.debug_ls.debugLsInfo(i).s1_robIdx).s1SignalEnable(io.debug_ls.debugLsInfo(i)) 803 debug_lsInfo(io.debug_ls.debugLsInfo(i).s2_robIdx).s2SignalEnable(io.debug_ls.debugLsInfo(i)) 804 debug_lsInfo(io.debug_ls.debugLsInfo(i).s3_robIdx).s3SignalEnable(io.debug_ls.debugLsInfo(i)) 805 } 806 for (i <- 0 until LduCnt) { 807 debug_lsTopdownInfo(io.lsTopdownInfo(i).s1.robIdx).s1SignalEnable(io.lsTopdownInfo(i)) 808 debug_lsTopdownInfo(io.lsTopdownInfo(i).s2.robIdx).s2SignalEnable(io.lsTopdownInfo(i)) 809 } 810 811 // status field: writebacked 812 // enqueue logic set 6 writebacked to false 813 for (i <- 0 until RenameWidth) { 814 when(canEnqueue(i)) { 815 val enqHasException = ExceptionNO.selectFrontend(io.enq.req(i).bits.exceptionVec).asUInt.orR 816 val enqHasTriggerCanFire = io.enq.req(i).bits.trigger.getFrontendCanFire 817 val enqIsWritebacked = io.enq.req(i).bits.eliminatedMove 818 val isStu = FuType.isStore(io.enq.req(i).bits.fuType) 819 robEntries(allocatePtrVec(i).value).commitTrigger := enqIsWritebacked && !enqHasException && !enqHasTriggerCanFire && !isStu 820 } 821 } 822 when(exceptionGen.io.out.valid) { 823 val wbIdx = exceptionGen.io.out.bits.robIdx.value 824 robEntries(wbIdx).commitTrigger := true.B 825 } 826 827 // writeback logic set numWbPorts writebacked to true 828 val blockWbSeq = Wire(Vec(exuWBs.length, Bool())) 829 blockWbSeq.map(_ := false.B) 830 for ((wb, blockWb) <- exuWBs.zip(blockWbSeq)) { 831 when(wb.valid) { 832 val wbIdx = wb.bits.robIdx.value 833 val wbHasException = wb.bits.exceptionVec.getOrElse(0.U).asUInt.orR 834 val wbHasTriggerCanFire = wb.bits.trigger.getOrElse(0.U).asTypeOf(io.enq.req(0).bits.trigger).getBackendCanFire //Todo: wb.bits.trigger.getHitBackend 835 val wbHasFlushPipe = wb.bits.flushPipe.getOrElse(false.B) 836 val wbHasReplayInst = wb.bits.replay.getOrElse(false.B) //Todo: && wb.bits.replayInst 837 blockWb := wbHasException || wbHasFlushPipe || wbHasReplayInst || wbHasTriggerCanFire 838 robEntries(wbIdx).commitTrigger := !blockWb 839 } 840 } 841 842 // if the first uop of an instruction is valid , write writebackedCounter 843 val uopEnqValidSeq = io.enq.req.map(req => io.enq.canAccept && req.valid) 844 val instEnqValidSeq = io.enq.req.map(req => io.enq.canAccept && req.valid && req.bits.firstUop) 845 val enqNeedWriteRFSeq = io.enq.req.map(_.bits.needWriteRf) 846 val enqRobIdxSeq = io.enq.req.map(req => req.bits.robIdx.value) 847 val enqUopNumVec = VecInit(io.enq.req.map(req => req.bits.numUops)) 848 val enqWBNumVec = VecInit(io.enq.req.map(req => req.bits.numWB)) 849 val enqEliminatedMoveVec = VecInit(io.enq.req.map(req => req.bits.eliminatedMove)) 850 851 private val enqWriteStdVec: Vec[Bool] = VecInit(io.enq.req.map { 852 req => FuType.isAMO(req.bits.fuType) || FuType.isStore(req.bits.fuType) 853 }) 854 val fflags_wb = fflagsWBs 855 val vxsat_wb = vxsatWBs 856 for (i <- 0 until RobSize) { 857 858 val robIdxMatchSeq = io.enq.req.map(_.bits.robIdx.value === i.U) 859 val uopCanEnqSeq = uopEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch } 860 val instCanEnqSeq = instEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch } 861 val instCanEnqFlag = Cat(instCanEnqSeq).orR 862 val realDestEnqNum = PopCount(enqNeedWriteRFSeq.zip(uopCanEnqSeq).map { case (writeFlag, valid) => writeFlag && valid }) 863 when(!robEntries(i).valid && instCanEnqFlag){ 864 robEntries(i).realDestSize := realDestEnqNum 865 }.elsewhen(robEntries(i).valid && Cat(uopCanEnqSeq).orR){ 866 robEntries(i).realDestSize := robEntries(i).realDestSize + realDestEnqNum 867 } 868 val enqUopNum = PriorityMux(instCanEnqSeq, enqUopNumVec) 869 val enqWBNum = PriorityMux(instCanEnqSeq, enqWBNumVec) 870 val enqEliminatedMove = PriorityMux(instCanEnqSeq, enqEliminatedMoveVec) 871 val enqWriteStd = PriorityMux(instCanEnqSeq, enqWriteStdVec) 872 873 val canWbSeq = exuWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U) 874 val canWbNoBlockSeq = canWbSeq.zip(blockWbSeq).map { case (canWb, blockWb) => canWb && !blockWb } 875 val canStdWbSeq = VecInit(stdWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U)) 876 val wbCnt = Mux1H(canWbNoBlockSeq, io.writebackNums.map(_.bits)) 877 878 val exceptionHas = RegInit(false.B) 879 val exceptionHasWire = Wire(Bool()) 880 exceptionHasWire := MuxCase(exceptionHas, Seq( 881 (robEntries(i).valid && exceptionGen.io.out.valid && exceptionGen.io.out.bits.robIdx.value === i.U) -> true.B, 882 !robEntries(i).valid -> false.B 883 )) 884 exceptionHas := exceptionHasWire 885 886 when(exceptionHas || exceptionHasWire) { 887 // exception flush 888 robEntries(i).uopNum := 0.U 889 robEntries(i).stdWritebacked := true.B 890 }.elsewhen(!robEntries(i).valid && instCanEnqFlag) { 891 // enq set num of uops 892 robEntries(i).uopNum := enqWBNum 893 robEntries(i).stdWritebacked := Mux(enqWriteStd, false.B, true.B) 894 }.elsewhen(robEntries(i).valid) { 895 // update by writing back 896 robEntries(i).uopNum := robEntries(i).uopNum - wbCnt 897 assert(!(robEntries(i).uopNum - wbCnt > robEntries(i).uopNum), s"robEntries $i uopNum is overflow!") 898 when(canStdWbSeq.asUInt.orR) { 899 robEntries(i).stdWritebacked := true.B 900 } 901 } 902 903 val fflagsCanWbSeq = fflags_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U && writeback.bits.wflags.getOrElse(false.B)) 904 val fflagsRes = fflagsCanWbSeq.zip(fflags_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.fflags.get, 0.U) }.fold(false.B)(_ | _) 905 robEntries(i).fflags := Mux(!robEntries(i).valid && instCanEnqFlag, 0.U, robEntries(i).fflags | fflagsRes) 906 907 val vxsatCanWbSeq = vxsat_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U) 908 val vxsatRes = vxsatCanWbSeq.zip(vxsat_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.vxsat.get, 0.U) }.fold(false.B)(_ | _) 909 robEntries(i).vxsat := Mux(!robEntries(i).valid && instCanEnqFlag, 0.U, robEntries(i).vxsat | vxsatRes) 910 } 911 912 // begin update robBanksRdata 913 val robBanksRdata = VecInit(robBanksRdataThisLine ++ robBanksRdataNextLine) 914 val needUpdate = Wire(Vec(2 * CommitWidth, new RobEntryBundle)) 915 needUpdate := VecInit(robBanksRdataThisLine ++ robBanksRdataNextLine) 916 val needUpdateRobIdx = robIdxThisLine ++ robIdxNextLine 917 for (i <- 0 until 2 * CommitWidth) { 918 val robIdxMatchSeq = io.enq.req.map(_.bits.robIdx.value === needUpdateRobIdx(i)) 919 val uopCanEnqSeq = uopEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch } 920 val instCanEnqSeq = instEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch } 921 val instCanEnqFlag = Cat(instCanEnqSeq).orR 922 val realDestEnqNum = PopCount(enqNeedWriteRFSeq.zip(uopCanEnqSeq).map { case (writeFlag, valid) => writeFlag && valid }) 923 when(!needUpdate(i).valid && instCanEnqFlag) { 924 needUpdate(i).realDestSize := realDestEnqNum 925 }.elsewhen(needUpdate(i).valid && instCanEnqFlag) { 926 needUpdate(i).realDestSize := robBanksRdata(i).realDestSize + realDestEnqNum 927 } 928 val enqUopNum = PriorityMux(instCanEnqSeq, enqUopNumVec) 929 val enqWBNum = PriorityMux(instCanEnqSeq, enqWBNumVec) 930 val enqEliminatedMove = PriorityMux(instCanEnqSeq, enqEliminatedMoveVec) 931 val enqWriteStd = PriorityMux(instCanEnqSeq, enqWriteStdVec) 932 933 val canWbSeq = exuWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === needUpdateRobIdx(i)) 934 val canWbNoBlockSeq = canWbSeq.zip(blockWbSeq).map { case (canWb, blockWb) => canWb && !blockWb } 935 val canStdWbSeq = VecInit(stdWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === needUpdateRobIdx(i))) 936 val wbCnt = Mux1H(canWbNoBlockSeq, io.writebackNums.map(_.bits)) 937 938 val exceptionHas = RegInit(false.B) 939 val exceptionHasWire = Wire(Bool()) 940 exceptionHasWire := MuxCase(exceptionHas, Seq( 941 // allCommitted has high priority, because the robidx in exceptionHas before maybe different from the current one 942 (!needUpdate(i).valid || allCommitted) -> false.B, 943 (needUpdate(i).valid && exceptionGen.io.out.valid && exceptionGen.io.out.bits.robIdx.value === needUpdateRobIdx(i)) -> true.B 944 )) 945 exceptionHas := exceptionHasWire 946 947 when(exceptionHas || exceptionHasWire) { 948 // exception flush 949 needUpdate(i).uopNum := 0.U 950 needUpdate(i).stdWritebacked := true.B 951 }.elsewhen(!needUpdate(i).valid && instCanEnqFlag) { 952 // enq set num of uops 953 needUpdate(i).uopNum := enqWBNum 954 needUpdate(i).stdWritebacked := Mux(enqWriteStd, false.B, true.B) 955 }.elsewhen(needUpdate(i).valid) { 956 // update by writing back 957 needUpdate(i).uopNum := robBanksRdata(i).uopNum - wbCnt 958 when(canStdWbSeq.asUInt.orR) { 959 needUpdate(i).stdWritebacked := true.B 960 } 961 } 962 963 val fflagsCanWbSeq = fflags_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === needUpdateRobIdx(i) && writeback.bits.wflags.getOrElse(false.B)) 964 val fflagsRes = fflagsCanWbSeq.zip(fflags_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.fflags.get, 0.U) }.fold(false.B)(_ | _) 965 needUpdate(i).fflags := Mux(!robBanksRdata(i).valid && instCanEnqFlag, 0.U, robBanksRdata(i).fflags | fflagsRes) 966 967 val vxsatCanWbSeq = vxsat_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === needUpdateRobIdx(i)) 968 val vxsatRes = vxsatCanWbSeq.zip(vxsat_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.vxsat.get, 0.U) }.fold(false.B)(_ | _) 969 needUpdate(i).vxsat := Mux(!robBanksRdata(i).valid && instCanEnqFlag, 0.U, robBanksRdata(i).vxsat | vxsatRes) 970 } 971 robBanksRdataThisLineUpdate := VecInit(needUpdate.take(8)) 972 robBanksRdataNextLineUpdate := VecInit(needUpdate.drop(8)) 973 // end update robBanksRdata 974 975 // interrupt_safe 976 for (i <- 0 until RenameWidth) { 977 // We RegNext the updates for better timing. 978 // Note that instructions won't change the system's states in this cycle. 979 when(RegNext(canEnqueue(i))) { 980 // For now, we allow non-load-store instructions to trigger interrupts 981 // For MMIO instructions, they should not trigger interrupts since they may 982 // be sent to lower level before it writes back. 983 // However, we cannot determine whether a load/store instruction is MMIO. 984 // Thus, we don't allow load/store instructions to trigger an interrupt. 985 // TODO: support non-MMIO load-store instructions to trigger interrupts 986 val allow_interrupts = !CommitType.isLoadStore(io.enq.req(i).bits.commitType) 987 robEntries(RegEnable(allocatePtrVec(i).value, canEnqueue(i))).interrupt_safe := RegEnable(allow_interrupts, canEnqueue(i)) 988 } 989 } 990 991 /** 992 * read and write of data modules 993 */ 994 val commitReadAddr_next = Mux(state_next === s_idle, 995 VecInit(deqPtrVec_next.map(_.value)), 996 VecInit(walkPtrVec_next.map(_.value)) 997 ) 998 999 exceptionGen.io.redirect <> io.redirect 1000 exceptionGen.io.flush := io.flushOut.valid 1001 1002 val canEnqueueEG = VecInit(io.enq.req.map(req => req.valid && io.enq.canAccept)) 1003 for (i <- 0 until RenameWidth) { 1004 exceptionGen.io.enq(i).valid := canEnqueueEG(i) 1005 exceptionGen.io.enq(i).bits.robIdx := io.enq.req(i).bits.robIdx 1006 exceptionGen.io.enq(i).bits.ftqPtr := io.enq.req(i).bits.ftqPtr 1007 exceptionGen.io.enq(i).bits.ftqOffset := io.enq.req(i).bits.ftqOffset 1008 exceptionGen.io.enq(i).bits.exceptionVec := ExceptionNO.selectFrontend(io.enq.req(i).bits.exceptionVec) 1009 exceptionGen.io.enq(i).bits.flushPipe := io.enq.req(i).bits.flushPipe 1010 exceptionGen.io.enq(i).bits.isVset := io.enq.req(i).bits.isVset 1011 exceptionGen.io.enq(i).bits.replayInst := false.B 1012 XSError(canEnqueue(i) && io.enq.req(i).bits.replayInst, "enq should not set replayInst") 1013 exceptionGen.io.enq(i).bits.singleStep := io.enq.req(i).bits.singleStep 1014 exceptionGen.io.enq(i).bits.crossPageIPFFix := io.enq.req(i).bits.crossPageIPFFix 1015 exceptionGen.io.enq(i).bits.trigger.clear() 1016 exceptionGen.io.enq(i).bits.trigger.frontendHit := io.enq.req(i).bits.trigger.frontendHit 1017 exceptionGen.io.enq(i).bits.trigger.frontendCanFire := io.enq.req(i).bits.trigger.frontendCanFire 1018 exceptionGen.io.enq(i).bits.vstartEn := false.B //DontCare 1019 exceptionGen.io.enq(i).bits.vstart := 0.U //DontCare 1020 } 1021 1022 println(s"ExceptionGen:") 1023 println(s"num of exceptions: ${params.numException}") 1024 require(exceptionWBs.length == exceptionGen.io.wb.length, 1025 f"exceptionWBs.length: ${exceptionWBs.length}, " + 1026 f"exceptionGen.io.wb.length: ${exceptionGen.io.wb.length}") 1027 for (((wb, exc_wb), i) <- exceptionWBs.zip(exceptionGen.io.wb).zipWithIndex) { 1028 exc_wb.valid := wb.valid 1029 exc_wb.bits.robIdx := wb.bits.robIdx 1030 // only enq inst use ftqPtr to read gpa 1031 exc_wb.bits.ftqPtr := 0.U.asTypeOf(exc_wb.bits.ftqPtr) 1032 exc_wb.bits.ftqOffset := 0.U.asTypeOf(exc_wb.bits.ftqOffset) 1033 exc_wb.bits.exceptionVec := wb.bits.exceptionVec.get 1034 exc_wb.bits.flushPipe := wb.bits.flushPipe.getOrElse(false.B) 1035 exc_wb.bits.isVset := false.B 1036 exc_wb.bits.replayInst := wb.bits.replay.getOrElse(false.B) 1037 exc_wb.bits.singleStep := false.B 1038 exc_wb.bits.crossPageIPFFix := false.B 1039 // TODO: make trigger configurable 1040 val trigger = wb.bits.trigger.getOrElse(0.U).asTypeOf(exc_wb.bits.trigger) 1041 exc_wb.bits.trigger.clear() // Don't care frontend timing, chain, hit and canFire 1042 exc_wb.bits.trigger.backendHit := trigger.backendHit 1043 exc_wb.bits.trigger.backendCanFire := trigger.backendCanFire 1044 exc_wb.bits.vstartEn := false.B //wb.bits.vstartEn.getOrElse(false.B) // todo need add vstart in ExuOutput 1045 exc_wb.bits.vstart := 0.U //wb.bits.vstart.getOrElse(0.U) 1046 // println(s" [$i] ${configs.map(_.name)}: exception ${exceptionCases(i)}, " + 1047 // s"flushPipe ${configs.exists(_.flushPipe)}, " + 1048 // s"replayInst ${configs.exists(_.replayInst)}") 1049 } 1050 1051 fflagsDataRead := (0 until CommitWidth).map(i => robEntries(deqPtrVec(i).value).fflags) 1052 vxsatDataRead := (0 until CommitWidth).map(i => robEntries(deqPtrVec(i).value).vxsat) 1053 1054 val instrCntReg = RegInit(0.U(64.W)) 1055 val fuseCommitCnt = PopCount(io.commits.commitValid.zip(io.commits.info).map { case (v, i) => RegNext(v && CommitType.isFused(i.commitType)) }) 1056 val trueCommitCnt = RegNext(io.commits.commitValid.zip(io.commits.info).map { case (v, i) => Mux(v, i.instrSize, 0.U) }.reduce(_ +& _)) +& fuseCommitCnt 1057 val retireCounter = Mux(RegNext(io.commits.isCommit), trueCommitCnt, 0.U) 1058 val instrCnt = instrCntReg + retireCounter 1059 instrCntReg := instrCnt 1060 io.csr.perfinfo.retiredInstr := retireCounter 1061 io.robFull := !allowEnqueue 1062 io.headNotReady := commit_vDeqGroup.head && !commit_wDeqGroup.head 1063 1064 /** 1065 * debug info 1066 */ 1067 XSDebug(p"enqPtr ${enqPtr} deqPtr ${deqPtr}\n") 1068 XSDebug("") 1069 XSError(isBefore(enqPtr, deqPtr) && !isFull(enqPtr, deqPtr), "\ndeqPtr is older than enqPtr!\n") 1070 for (i <- 0 until RobSize) { 1071 XSDebug(false, !robEntries(i).valid, "-") 1072 XSDebug(false, robEntries(i).valid && robEntries(i).isWritebacked, "w") 1073 XSDebug(false, robEntries(i).valid && !robEntries(i).isWritebacked, "v") 1074 } 1075 XSDebug(false, true.B, "\n") 1076 1077 for (i <- 0 until RobSize) { 1078 if (i % 4 == 0) XSDebug("") 1079 XSDebug(false, true.B, "%x ", debug_microOp(i).pc) 1080 XSDebug(false, !robEntries(i).valid, "- ") 1081 XSDebug(false, robEntries(i).valid && robEntries(i).isWritebacked, "w ") 1082 XSDebug(false, robEntries(i).valid && !robEntries(i).isWritebacked, "v ") 1083 if (i % 4 == 3) XSDebug(false, true.B, "\n") 1084 } 1085 1086 def ifCommit(counter: UInt): UInt = Mux(io.commits.isCommit, counter, 0.U) 1087 1088 def ifCommitReg(counter: UInt): UInt = Mux(RegNext(io.commits.isCommit), counter, 0.U) 1089 1090 val commitDebugUop = deqPtrVec.map(_.value).map(debug_microOp(_)) 1091 XSPerfAccumulate("clock_cycle", 1.U) 1092 QueuePerf(RobSize, numValidEntries, numValidEntries === RobSize.U) 1093 XSPerfAccumulate("commitUop", ifCommit(commitCnt)) 1094 XSPerfAccumulate("commitInstr", ifCommitReg(trueCommitCnt)) 1095 XSPerfRolling("ipc", ifCommitReg(trueCommitCnt), 1000, clock, reset) 1096 XSPerfRolling("cpi", perfCnt = 1.U /*Cycle*/ , eventTrigger = ifCommitReg(trueCommitCnt), granularity = 1000, clock, reset) 1097 val commitIsMove = commitInfo.map(_.isMove) 1098 XSPerfAccumulate("commitInstrMove", ifCommit(PopCount(io.commits.commitValid.zip(commitIsMove).map { case (v, m) => v && m }))) 1099 val commitMoveElim = commitDebugUop.map(_.debugInfo.eliminatedMove) 1100 XSPerfAccumulate("commitInstrMoveElim", ifCommit(PopCount(io.commits.commitValid zip commitMoveElim map { case (v, e) => v && e }))) 1101 XSPerfAccumulate("commitInstrFused", ifCommitReg(fuseCommitCnt)) 1102 val commitIsLoad = io.commits.info.map(_.commitType).map(_ === CommitType.LOAD) 1103 val commitLoadValid = io.commits.commitValid.zip(commitIsLoad).map { case (v, t) => v && t } 1104 XSPerfAccumulate("commitInstrLoad", ifCommit(PopCount(commitLoadValid))) 1105 val commitIsBranch = io.commits.info.map(_.commitType).map(_ === CommitType.BRANCH) 1106 val commitBranchValid = io.commits.commitValid.zip(commitIsBranch).map { case (v, t) => v && t } 1107 XSPerfAccumulate("commitInstrBranch", ifCommit(PopCount(commitBranchValid))) 1108 val commitLoadWaitBit = commitInfo.map(_.loadWaitBit) 1109 XSPerfAccumulate("commitInstrLoadWait", ifCommit(PopCount(commitLoadValid.zip(commitLoadWaitBit).map { case (v, w) => v && w }))) 1110 val commitIsStore = io.commits.info.map(_.commitType).map(_ === CommitType.STORE) 1111 XSPerfAccumulate("commitInstrStore", ifCommit(PopCount(io.commits.commitValid.zip(commitIsStore).map { case (v, t) => v && t }))) 1112 XSPerfAccumulate("writeback", PopCount((0 until RobSize).map(i => robEntries(i).valid && robEntries(i).isWritebacked))) 1113 // XSPerfAccumulate("enqInstr", PopCount(io.dp1Req.map(_.fire))) 1114 // XSPerfAccumulate("d2rVnR", PopCount(io.dp1Req.map(p => p.valid && !p.ready))) 1115 XSPerfAccumulate("walkInstr", Mux(io.commits.isWalk, PopCount(io.commits.walkValid), 0.U)) 1116 XSPerfAccumulate("walkCycleTotal", state === s_walk) 1117 XSPerfAccumulate("waitRabWalkEnd", state === s_walk && walkFinished && !rab.io.status.walkEnd) 1118 private val walkCycle = RegInit(0.U(8.W)) 1119 private val waitRabWalkCycle = RegInit(0.U(8.W)) 1120 walkCycle := Mux(io.redirect.valid, 0.U, Mux(state === s_walk, walkCycle + 1.U, 0.U)) 1121 waitRabWalkCycle := Mux(state === s_walk && walkFinished, 0.U, Mux(state === s_walk, walkCycle + 1.U, 0.U)) 1122 1123 XSPerfHistogram("walkRobCycleHist", walkCycle, state === s_walk && walkFinished, 0, 32) 1124 XSPerfHistogram("walkRabExtraCycleHist", waitRabWalkCycle, state === s_walk && walkFinished && rab.io.status.walkEnd, 0, 32) 1125 XSPerfHistogram("walkTotalCycleHist", walkCycle, state === s_walk && state_next === s_idle, 0, 32) 1126 1127 private val deqNotWritebacked = robEntries(deqPtr.value).valid && !robEntries(deqPtr.value).isWritebacked 1128 private val deqStdNotWritebacked = robEntries(deqPtr.value).valid && !robEntries(deqPtr.value).stdWritebacked 1129 private val deqUopNotWritebacked = robEntries(deqPtr.value).valid && !robEntries(deqPtr.value).isUopWritebacked 1130 private val deqHeadInfo = debug_microOp(deqPtr.value) 1131 val deqUopCommitType = debug_microOp(deqPtr.value).commitType 1132 1133 XSPerfAccumulate("waitAluCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.alu.U) 1134 XSPerfAccumulate("waitMulCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.mul.U) 1135 XSPerfAccumulate("waitDivCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.div.U) 1136 XSPerfAccumulate("waitBrhCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.brh.U) 1137 XSPerfAccumulate("waitJmpCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.jmp.U) 1138 XSPerfAccumulate("waitCsrCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.csr.U) 1139 XSPerfAccumulate("waitFenCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.fence.U) 1140 XSPerfAccumulate("waitBkuCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.bku.U) 1141 XSPerfAccumulate("waitLduCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.ldu.U) 1142 XSPerfAccumulate("waitStuCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.stu.U) 1143 XSPerfAccumulate("waitStaCycle", deqUopNotWritebacked && deqHeadInfo.fuType === FuType.stu.U) 1144 XSPerfAccumulate("waitStdCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.stu.U) 1145 XSPerfAccumulate("waitAtmCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.mou.U) 1146 1147 XSPerfAccumulate("waitVfaluCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.vfalu.U) 1148 XSPerfAccumulate("waitVfmaCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.vfma.U) 1149 XSPerfAccumulate("waitVfdivCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.vfdiv.U) 1150 1151 val vfalufuop = Seq(VfaluType.vfadd, VfaluType.vfwadd, VfaluType.vfwadd_w, VfaluType.vfsub, VfaluType.vfwsub, VfaluType.vfwsub_w, VfaluType.vfmin, VfaluType.vfmax, 1152 VfaluType.vfmerge, VfaluType.vfmv, VfaluType.vfsgnj, VfaluType.vfsgnjn, VfaluType.vfsgnjx, VfaluType.vfeq, VfaluType.vfne, VfaluType.vflt, VfaluType.vfle, VfaluType.vfgt, 1153 VfaluType.vfge, VfaluType.vfclass, VfaluType.vfmv_f_s, VfaluType.vfmv_s_f, VfaluType.vfredusum, VfaluType.vfredmax, VfaluType.vfredmin, VfaluType.vfredosum, VfaluType.vfwredosum) 1154 1155 vfalufuop.zipWithIndex.map{ 1156 case(fuoptype,i) => XSPerfAccumulate(s"waitVfalu_${i}Cycle", deqStdNotWritebacked && deqHeadInfo.fuOpType === fuoptype && deqHeadInfo.fuType === FuType.vfalu.U) 1157 } 1158 1159 1160 1161 XSPerfAccumulate("waitNormalCycle", deqNotWritebacked && deqUopCommitType === CommitType.NORMAL) 1162 XSPerfAccumulate("waitBranchCycle", deqNotWritebacked && deqUopCommitType === CommitType.BRANCH) 1163 XSPerfAccumulate("waitLoadCycle", deqNotWritebacked && deqUopCommitType === CommitType.LOAD) 1164 XSPerfAccumulate("waitStoreCycle", deqNotWritebacked && deqUopCommitType === CommitType.STORE) 1165 XSPerfAccumulate("robHeadPC", io.commits.info(0).debug_pc.getOrElse(0.U)) 1166 XSPerfAccumulate("commitCompressCntAll", PopCount(io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => io.commits.isCommit && valid && info.instrSize > 1.U })) 1167 (2 to RenameWidth).foreach(i => 1168 XSPerfAccumulate(s"commitCompressCnt${i}", PopCount(io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => io.commits.isCommit && valid && info.instrSize === i.U })) 1169 ) 1170 XSPerfAccumulate("compressSize", io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => Mux(io.commits.isCommit && valid && info.instrSize > 1.U, info.instrSize, 0.U) }.reduce(_ +& _)) 1171 val dispatchLatency = commitDebugUop.map(uop => uop.debugInfo.dispatchTime - uop.debugInfo.renameTime) 1172 val enqRsLatency = commitDebugUop.map(uop => uop.debugInfo.enqRsTime - uop.debugInfo.dispatchTime) 1173 val selectLatency = commitDebugUop.map(uop => uop.debugInfo.selectTime - uop.debugInfo.enqRsTime) 1174 val issueLatency = commitDebugUop.map(uop => uop.debugInfo.issueTime - uop.debugInfo.selectTime) 1175 val executeLatency = commitDebugUop.map(uop => uop.debugInfo.writebackTime - uop.debugInfo.issueTime) 1176 val rsFuLatency = commitDebugUop.map(uop => uop.debugInfo.writebackTime - uop.debugInfo.enqRsTime) 1177 val commitLatency = commitDebugUop.map(uop => timer - uop.debugInfo.writebackTime) 1178 1179 def latencySum(cond: Seq[Bool], latency: Seq[UInt]): UInt = { 1180 cond.zip(latency).map(x => Mux(x._1, x._2, 0.U)).reduce(_ +& _) 1181 } 1182 1183 for (fuType <- FuType.functionNameMap.keys) { 1184 val fuName = FuType.functionNameMap(fuType) 1185 val commitIsFuType = io.commits.commitValid.zip(commitDebugUop).map(x => x._1 && x._2.fuType === fuType.U) 1186 XSPerfRolling(s"ipc_futype_${fuName}", ifCommit(PopCount(commitIsFuType)), 1000, clock, reset) 1187 XSPerfAccumulate(s"${fuName}_instr_cnt", ifCommit(PopCount(commitIsFuType))) 1188 XSPerfAccumulate(s"${fuName}_latency_dispatch", ifCommit(latencySum(commitIsFuType, dispatchLatency))) 1189 XSPerfAccumulate(s"${fuName}_latency_enq_rs", ifCommit(latencySum(commitIsFuType, enqRsLatency))) 1190 XSPerfAccumulate(s"${fuName}_latency_select", ifCommit(latencySum(commitIsFuType, selectLatency))) 1191 XSPerfAccumulate(s"${fuName}_latency_issue", ifCommit(latencySum(commitIsFuType, issueLatency))) 1192 XSPerfAccumulate(s"${fuName}_latency_execute", ifCommit(latencySum(commitIsFuType, executeLatency))) 1193 XSPerfAccumulate(s"${fuName}_latency_enq_rs_execute", ifCommit(latencySum(commitIsFuType, rsFuLatency))) 1194 XSPerfAccumulate(s"${fuName}_latency_commit", ifCommit(latencySum(commitIsFuType, commitLatency))) 1195 } 1196 XSPerfAccumulate(s"redirect_use_snapshot", io.redirect.valid && io.snpt.useSnpt) 1197 1198 // top-down info 1199 io.debugTopDown.toCore.robHeadVaddr.valid := debug_lsTopdownInfo(deqPtr.value).s1.vaddr_valid 1200 io.debugTopDown.toCore.robHeadVaddr.bits := debug_lsTopdownInfo(deqPtr.value).s1.vaddr_bits 1201 io.debugTopDown.toCore.robHeadPaddr.valid := debug_lsTopdownInfo(deqPtr.value).s2.paddr_valid 1202 io.debugTopDown.toCore.robHeadPaddr.bits := debug_lsTopdownInfo(deqPtr.value).s2.paddr_bits 1203 io.debugTopDown.toDispatch.robTrueCommit := ifCommitReg(trueCommitCnt) 1204 io.debugTopDown.toDispatch.robHeadLsIssue := debug_lsIssue(deqPtr.value) 1205 io.debugTopDown.robHeadLqIdx.valid := debug_lqIdxValid(deqPtr.value) 1206 io.debugTopDown.robHeadLqIdx.bits := debug_microOp(deqPtr.value).lqIdx 1207 1208 // rolling 1209 io.debugRolling.robTrueCommit := ifCommitReg(trueCommitCnt) 1210 1211 /** 1212 * DataBase info: 1213 * log trigger is at writeback valid 1214 * */ 1215 1216 /** 1217 * @todo add InstInfoEntry back 1218 * @author Maxpicca-Li 1219 */ 1220 1221 //difftest signals 1222 val firstValidCommit = (deqPtr + PriorityMux(io.commits.commitValid, VecInit(List.tabulate(CommitWidth)(_.U(log2Up(CommitWidth).W))))).value 1223 1224 val wdata = Wire(Vec(CommitWidth, UInt(XLEN.W))) 1225 val wpc = Wire(Vec(CommitWidth, UInt(XLEN.W))) 1226 1227 for (i <- 0 until CommitWidth) { 1228 val idx = deqPtrVec(i).value 1229 wdata(i) := debug_exuData(idx) 1230 wpc(i) := SignExt(commitDebugUop(i).pc, XLEN) 1231 } 1232 1233 if (env.EnableDifftest || env.AlwaysBasicDiff) { 1234 // These are the structures used by difftest only and should be optimized after synthesis. 1235 val dt_eliminatedMove = Mem(RobSize, Bool()) 1236 val dt_isRVC = Mem(RobSize, Bool()) 1237 val dt_exuDebug = Reg(Vec(RobSize, new DebugBundle)) 1238 for (i <- 0 until RenameWidth) { 1239 when(canEnqueue(i)) { 1240 dt_eliminatedMove(allocatePtrVec(i).value) := io.enq.req(i).bits.eliminatedMove 1241 dt_isRVC(allocatePtrVec(i).value) := io.enq.req(i).bits.preDecodeInfo.isRVC 1242 } 1243 } 1244 for (wb <- exuWBs) { 1245 when(wb.valid) { 1246 val wbIdx = wb.bits.robIdx.value 1247 dt_exuDebug(wbIdx) := wb.bits.debug 1248 } 1249 } 1250 // Always instantiate basic difftest modules. 1251 for (i <- 0 until CommitWidth) { 1252 val uop = commitDebugUop(i) 1253 val commitInfo = io.commits.info(i) 1254 val ptr = deqPtrVec(i).value 1255 val exuOut = dt_exuDebug(ptr) 1256 val eliminatedMove = dt_eliminatedMove(ptr) 1257 val isRVC = dt_isRVC(ptr) 1258 1259 val difftest = DifftestModule(new DiffInstrCommit(MaxPhyPregs), delay = 3, dontCare = true) 1260 val dt_skip = Mux(eliminatedMove, false.B, exuOut.isMMIO || exuOut.isPerfCnt) 1261 difftest.coreid := io.hartId 1262 difftest.index := i.U 1263 difftest.valid := io.commits.commitValid(i) && io.commits.isCommit 1264 difftest.skip := dt_skip 1265 difftest.isRVC := isRVC 1266 difftest.rfwen := io.commits.commitValid(i) && commitInfo.rfWen && commitInfo.debug_ldest.get =/= 0.U 1267 difftest.fpwen := io.commits.commitValid(i) && uop.fpWen 1268 difftest.wpdest := commitInfo.debug_pdest.get 1269 difftest.wdest := commitInfo.debug_ldest.get 1270 difftest.nFused := CommitType.isFused(commitInfo.commitType).asUInt + commitInfo.instrSize - 1.U 1271 when(difftest.valid) { 1272 assert(CommitType.isFused(commitInfo.commitType).asUInt + commitInfo.instrSize >= 1.U) 1273 } 1274 if (env.EnableDifftest) { 1275 val uop = commitDebugUop(i) 1276 difftest.pc := SignExt(uop.pc, XLEN) 1277 difftest.instr := uop.instr 1278 difftest.robIdx := ZeroExt(ptr, 10) 1279 difftest.lqIdx := ZeroExt(uop.lqIdx.value, 7) 1280 difftest.sqIdx := ZeroExt(uop.sqIdx.value, 7) 1281 difftest.isLoad := io.commits.info(i).commitType === CommitType.LOAD 1282 difftest.isStore := io.commits.info(i).commitType === CommitType.STORE 1283 // Check LoadEvent only when isAmo or isLoad and skip MMIO 1284 val difftestLoadEvent = DifftestModule(new DiffLoadEvent, delay = 3) 1285 difftestLoadEvent.coreid := io.hartId 1286 difftestLoadEvent.index := i.U 1287 val loadCheck = (FuType.isAMO(uop.fuType) || FuType.isLoad(uop.fuType)) && !dt_skip 1288 difftestLoadEvent.valid := io.commits.commitValid(i) && io.commits.isCommit && loadCheck 1289 difftestLoadEvent.paddr := exuOut.paddr 1290 difftestLoadEvent.opType := uop.fuOpType 1291 difftestLoadEvent.isAtomic := FuType.isAMO(uop.fuType) 1292 difftestLoadEvent.isLoad := FuType.isLoad(uop.fuType) 1293 } 1294 } 1295 } 1296 1297 if (env.EnableDifftest || env.AlwaysBasicDiff) { 1298 val dt_isXSTrap = Mem(RobSize, Bool()) 1299 for (i <- 0 until RenameWidth) { 1300 when(canEnqueue(i)) { 1301 dt_isXSTrap(allocatePtrVec(i).value) := io.enq.req(i).bits.isXSTrap 1302 } 1303 } 1304 val trapVec = io.commits.commitValid.zip(deqPtrVec).map { case (v, d) => 1305 io.commits.isCommit && v && dt_isXSTrap(d.value) 1306 } 1307 val hitTrap = trapVec.reduce(_ || _) 1308 val difftest = DifftestModule(new DiffTrapEvent, dontCare = true) 1309 difftest.coreid := io.hartId 1310 difftest.hasTrap := hitTrap 1311 difftest.cycleCnt := timer 1312 difftest.instrCnt := instrCnt 1313 difftest.hasWFI := hasWFI 1314 1315 if (env.EnableDifftest) { 1316 val trapCode = PriorityMux(wdata.zip(trapVec).map(x => x._2 -> x._1)) 1317 val trapPC = SignExt(PriorityMux(wpc.zip(trapVec).map(x => x._2 -> x._1)), XLEN) 1318 difftest.code := trapCode 1319 difftest.pc := trapPC 1320 } 1321 } 1322 1323 val validEntriesBanks = (0 until (RobSize + 31) / 32).map(i => RegNext(PopCount(robEntries.map(_.valid).drop(i * 32).take(32)))) 1324 val validEntries = RegNext(VecInit(validEntriesBanks).reduceTree(_ +& _)) 1325 val commitMoveVec = VecInit(io.commits.commitValid.zip(commitIsMove).map { case (v, m) => v && m }) 1326 val commitLoadVec = VecInit(commitLoadValid) 1327 val commitBranchVec = VecInit(commitBranchValid) 1328 val commitLoadWaitVec = VecInit(commitLoadValid.zip(commitLoadWaitBit).map { case (v, w) => v && w }) 1329 val commitStoreVec = VecInit(io.commits.commitValid.zip(commitIsStore).map { case (v, t) => v && t }) 1330 val perfEvents = Seq( 1331 ("rob_interrupt_num ", io.flushOut.valid && intrEnable), 1332 ("rob_exception_num ", io.flushOut.valid && exceptionEnable), 1333 ("rob_flush_pipe_num ", io.flushOut.valid && isFlushPipe), 1334 ("rob_replay_inst_num ", io.flushOut.valid && isFlushPipe && deqHasReplayInst), 1335 ("rob_commitUop ", ifCommit(commitCnt)), 1336 ("rob_commitInstr ", ifCommitReg(trueCommitCnt)), 1337 ("rob_commitInstrMove ", ifCommitReg(PopCount(RegNext(commitMoveVec)))), 1338 ("rob_commitInstrFused ", ifCommitReg(fuseCommitCnt)), 1339 ("rob_commitInstrLoad ", ifCommitReg(PopCount(RegNext(commitLoadVec)))), 1340 ("rob_commitInstrBranch ", ifCommitReg(PopCount(RegNext(commitBranchVec)))), 1341 ("rob_commitInstrLoadWait", ifCommitReg(PopCount(RegNext(commitLoadWaitVec)))), 1342 ("rob_commitInstrStore ", ifCommitReg(PopCount(RegNext(commitStoreVec)))), 1343 ("rob_walkInstr ", Mux(io.commits.isWalk, PopCount(io.commits.walkValid), 0.U)), 1344 ("rob_walkCycle ", (state === s_walk)), 1345 ("rob_1_4_valid ", validEntries <= (RobSize / 4).U), 1346 ("rob_2_4_valid ", validEntries > (RobSize / 4).U && validEntries <= (RobSize / 2).U), 1347 ("rob_3_4_valid ", validEntries > (RobSize / 2).U && validEntries <= (RobSize * 3 / 4).U), 1348 ("rob_4_4_valid ", validEntries > (RobSize * 3 / 4).U), 1349 ) 1350 generatePerfEvent() 1351 1352 // dontTouch for debug 1353 if (backendParams.debugEn) { 1354 dontTouch(enqPtrVec) 1355 dontTouch(deqPtrVec) 1356 dontTouch(robEntries) 1357 dontTouch(robDeqGroup) 1358 dontTouch(robBanks) 1359 dontTouch(robBanksRaddrThisLine) 1360 dontTouch(robBanksRaddrNextLine) 1361 dontTouch(robBanksRdataThisLine) 1362 dontTouch(robBanksRdataNextLine) 1363 dontTouch(robBanksRdataThisLineUpdate) 1364 dontTouch(robBanksRdataNextLineUpdate) 1365 dontTouch(commit_wDeqGroup) 1366 dontTouch(commit_vDeqGroup) 1367 dontTouch(commitSizeSumSeq) 1368 dontTouch(walkSizeSumSeq) 1369 dontTouch(commitSizeSumCond) 1370 dontTouch(walkSizeSumCond) 1371 dontTouch(commitSizeSum) 1372 dontTouch(walkSizeSum) 1373 dontTouch(realDestSizeSeq) 1374 dontTouch(walkDestSizeSeq) 1375 dontTouch(io.commits) 1376 dontTouch(commitIsVTypeVec) 1377 dontTouch(walkIsVTypeVec) 1378 dontTouch(commitValidThisLine) 1379 dontTouch(commitReadAddr_next) 1380 dontTouch(donotNeedWalk) 1381 dontTouch(walkPtrVec_next) 1382 dontTouch(walkPtrVec) 1383 dontTouch(deqPtrVec_next) 1384 dontTouch(deqPtrVecForWalk) 1385 dontTouch(snapPtrReadBank) 1386 dontTouch(snapPtrVecForWalk) 1387 dontTouch(shouldWalkVec) 1388 dontTouch(walkFinished) 1389 dontTouch(changeBankAddrToDeqPtr) 1390 } 1391 if (env.EnableDifftest) { 1392 io.commits.info.map(info => dontTouch(info.debug_pc.get)) 1393 } 1394} 1395