1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan.backend.rob 18 19import org.chipsalliance.cde.config.Parameters 20import chisel3._ 21import chisel3.util._ 22import difftest._ 23import freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 24import utility._ 25import utils._ 26import xiangshan._ 27import xiangshan.backend.BackendParams 28import xiangshan.backend.Bundles.{DynInst, ExceptionInfo, ExuOutput} 29import xiangshan.backend.fu.{FuConfig, FuType} 30import xiangshan.frontend.FtqPtr 31import xiangshan.mem.{LqPtr, LsqEnqIO, SqPtr} 32import xiangshan.backend.Bundles.{DynInst, ExceptionInfo, ExuOutput} 33import xiangshan.backend.ctrlblock.{DebugLSIO, DebugLsInfo, LsTopdownInfo} 34import xiangshan.backend.fu.vector.Bundles.VType 35import xiangshan.backend.rename.SnapshotGenerator 36import yunsuan.VfaluType 37import xiangshan.backend.rob.RobBundles._ 38 39class Rob(params: BackendParams)(implicit p: Parameters) extends LazyModule with HasXSParameter { 40 override def shouldBeInlined: Boolean = false 41 42 lazy val module = new RobImp(this)(p, params) 43} 44 45class RobImp(override val wrapper: Rob)(implicit p: Parameters, params: BackendParams) extends LazyModuleImp(wrapper) 46 with HasXSParameter with HasCircularQueuePtrHelper with HasPerfEvents { 47 48 private val LduCnt = params.LduCnt 49 private val StaCnt = params.StaCnt 50 private val HyuCnt = params.HyuCnt 51 52 val io = IO(new Bundle() { 53 val hartId = Input(UInt(hartIdLen.W)) 54 val redirect = Input(Valid(new Redirect)) 55 val enq = new RobEnqIO 56 val flushOut = ValidIO(new Redirect) 57 val exception = ValidIO(new ExceptionInfo) 58 // exu + brq 59 val writeback: MixedVec[ValidIO[ExuOutput]] = Flipped(params.genWrite2CtrlBundles) 60 val exuWriteback: MixedVec[ValidIO[ExuOutput]] = Flipped(params.genWrite2CtrlBundles) 61 val writebackNums = Flipped(Vec(writeback.size - params.StdCnt, ValidIO(UInt(writeback.size.U.getWidth.W)))) 62 val writebackNeedFlush = Input(Vec(params.allExuParams.filter(_.needExceptionGen).length, Bool())) 63 val commits = Output(new RobCommitIO) 64 val rabCommits = Output(new RabCommitIO) 65 val diffCommits = if (backendParams.debugEn) Some(Output(new DiffCommitIO)) else None 66 val isVsetFlushPipe = Output(Bool()) 67 val lsq = new RobLsqIO 68 val robDeqPtr = Output(new RobPtr) 69 val csr = new RobCSRIO 70 val snpt = Input(new SnapshotPort) 71 val robFull = Output(Bool()) 72 val headNotReady = Output(Bool()) 73 val cpu_halt = Output(Bool()) 74 val wfi_enable = Input(Bool()) 75 val toDecode = new Bundle { 76 val isResumeVType = Output(Bool()) 77 val walkVType = ValidIO(VType()) 78 val commitVType = new Bundle { 79 val vtype = ValidIO(VType()) 80 val hasVsetvl = Output(Bool()) 81 } 82 } 83 val readGPAMemAddr = ValidIO(new Bundle { 84 val ftqPtr = new FtqPtr() 85 val ftqOffset = UInt(log2Up(PredictWidth).W) 86 }) 87 val readGPAMemData = Input(UInt(GPAddrBits.W)) 88 val vstartIsZero = Input(Bool()) 89 90 val debug_ls = Flipped(new DebugLSIO) 91 val debugRobHead = Output(new DynInst) 92 val debugEnqLsq = Input(new LsqEnqIO) 93 val debugHeadLsIssue = Input(Bool()) 94 val lsTopdownInfo = Vec(LduCnt + HyuCnt, Input(new LsTopdownInfo)) 95 val debugTopDown = new Bundle { 96 val toCore = new RobCoreTopDownIO 97 val toDispatch = new RobDispatchTopDownIO 98 val robHeadLqIdx = Valid(new LqPtr) 99 } 100 val debugRolling = new RobDebugRollingIO 101 }) 102 103 val exuWBs: Seq[ValidIO[ExuOutput]] = io.exuWriteback.filter(!_.bits.params.hasStdFu).toSeq 104 val stdWBs: Seq[ValidIO[ExuOutput]] = io.exuWriteback.filter(_.bits.params.hasStdFu).toSeq 105 val fflagsWBs = io.exuWriteback.filter(x => x.bits.fflags.nonEmpty).toSeq 106 val exceptionWBs = io.writeback.filter(x => x.bits.exceptionVec.nonEmpty).toSeq 107 val redirectWBs = io.writeback.filter(x => x.bits.redirect.nonEmpty).toSeq 108 val vxsatWBs = io.exuWriteback.filter(x => x.bits.vxsat.nonEmpty).toSeq 109 110 val numExuWbPorts = exuWBs.length 111 val numStdWbPorts = stdWBs.length 112 val bankAddrWidth = log2Up(CommitWidth) 113 114 println(s"Rob: size $RobSize, numExuWbPorts: $numExuWbPorts, numStdWbPorts: $numStdWbPorts, commitwidth: $CommitWidth") 115 116 val rab = Module(new RenameBuffer(RabSize)) 117 val vtypeBuffer = Module(new VTypeBuffer(VTypeBufferSize)) 118 val bankNum = 8 119 assert(RobSize % bankNum == 0, "RobSize % bankNum must be 0") 120 val robEntries = Reg(Vec(RobSize, new RobEntryBundle)) 121 // pointers 122 // For enqueue ptr, we don't duplicate it since only enqueue needs it. 123 val enqPtrVec = Wire(Vec(RenameWidth, new RobPtr)) 124 val deqPtrVec = Wire(Vec(CommitWidth, new RobPtr)) 125 val walkPtrVec = Reg(Vec(CommitWidth, new RobPtr)) 126 val walkPtrTrue = Reg(new RobPtr) 127 val lastWalkPtr = Reg(new RobPtr) 128 val allowEnqueue = RegInit(true.B) 129 130 /** 131 * Enqueue (from dispatch) 132 */ 133 // special cases 134 val hasBlockBackward = RegInit(false.B) 135 val hasWaitForward = RegInit(false.B) 136 val doingSvinval = RegInit(false.B) 137 val enqPtr = enqPtrVec(0) 138 val deqPtr = deqPtrVec(0) 139 val walkPtr = walkPtrVec(0) 140 val allocatePtrVec = VecInit((0 until RenameWidth).map(i => enqPtrVec(PopCount(io.enq.req.take(i).map(req => req.valid && req.bits.firstUop))))) 141 io.enq.canAccept := allowEnqueue && !hasBlockBackward && rab.io.canEnq && vtypeBuffer.io.canEnq 142 io.enq.resp := allocatePtrVec 143 val canEnqueue = VecInit(io.enq.req.map(req => req.valid && req.bits.firstUop && io.enq.canAccept)) 144 val timer = GTimer() 145 // robEntries enqueue 146 for (i <- 0 until RobSize) { 147 val enqOH = VecInit(canEnqueue.zip(allocatePtrVec.map(_.value === i.U)).map(x => x._1 && x._2)) 148 assert(PopCount(enqOH) < 2.U, s"robEntries$i enqOH is not one hot") 149 when(enqOH.asUInt.orR && !io.redirect.valid){ 150 connectEnq(robEntries(i), Mux1H(enqOH, io.enq.req.map(_.bits))) 151 } 152 } 153 // robBanks0 include robidx : 0 8 16 24 32 ... 154 val robBanks = VecInit((0 until bankNum).map(i => VecInit(robEntries.zipWithIndex.filter(_._2 % bankNum == i).map(_._1)))) 155 // each Bank has 20 Entries, read addr is one hot 156 // all banks use same raddr 157 val eachBankEntrieNum = robBanks(0).length 158 val robBanksRaddrThisLine = RegInit(1.U(eachBankEntrieNum.W)) 159 val robBanksRaddrNextLine = Wire(UInt(eachBankEntrieNum.W)) 160 robBanksRaddrThisLine := robBanksRaddrNextLine 161 val bankNumWidth = log2Up(bankNum) 162 val deqPtrWidth = deqPtr.value.getWidth 163 val robIdxThisLine = VecInit((0 until bankNum).map(i => Cat(deqPtr.value(deqPtrWidth - 1, bankNumWidth), i.U(bankNumWidth.W)))) 164 val robIdxNextLine = VecInit((0 until bankNum).map(i => Cat(deqPtr.value(deqPtrWidth - 1, bankNumWidth) + 1.U, i.U(bankNumWidth.W)))) 165 // robBanks read 166 val robBanksRdataThisLine = VecInit(robBanks.map{ case bank => 167 Mux1H(robBanksRaddrThisLine, bank) 168 }) 169 val robBanksRdataNextLine = VecInit(robBanks.map{ case bank => 170 val shiftBank = bank.drop(1) :+ bank(0) 171 Mux1H(robBanksRaddrThisLine, shiftBank) 172 }) 173 val robBanksRdataThisLineUpdate = Wire(Vec(CommitWidth, new RobEntryBundle)) 174 val robBanksRdataNextLineUpdate = Wire(Vec(CommitWidth, new RobEntryBundle)) 175 val commitValidThisLine = Wire(Vec(CommitWidth, Bool())) 176 val hasCommitted = RegInit(VecInit(Seq.fill(CommitWidth)(false.B))) 177 val donotNeedWalk = RegInit(VecInit(Seq.fill(CommitWidth)(false.B))) 178 val allCommitted = Wire(Bool()) 179 180 when(allCommitted) { 181 hasCommitted := 0.U.asTypeOf(hasCommitted) 182 }.elsewhen(io.commits.isCommit){ 183 for (i <- 0 until CommitWidth){ 184 hasCommitted(i) := commitValidThisLine(i) || hasCommitted(i) 185 } 186 } 187 allCommitted := io.commits.isCommit && commitValidThisLine.last 188 val walkPtrHead = Wire(new RobPtr) 189 val changeBankAddrToDeqPtr = (walkPtrVec.head + CommitWidth.U) > lastWalkPtr 190 when(io.redirect.valid){ 191 robBanksRaddrNextLine := UIntToOH(walkPtrHead.value(walkPtrHead.value.getWidth-1, bankAddrWidth)) 192 }.elsewhen(allCommitted || io.commits.isWalk && !changeBankAddrToDeqPtr){ 193 robBanksRaddrNextLine := Mux(robBanksRaddrThisLine.head(1) === 1.U, 1.U, robBanksRaddrThisLine << 1) 194 }.elsewhen(io.commits.isWalk && changeBankAddrToDeqPtr){ 195 robBanksRaddrNextLine := UIntToOH(deqPtr.value(deqPtr.value.getWidth-1, bankAddrWidth)) 196 }.otherwise( 197 robBanksRaddrNextLine := robBanksRaddrThisLine 198 ) 199 val robDeqGroup = Reg(Vec(bankNum, new RobCommitEntryBundle)) 200 val commitInfo = VecInit((0 until CommitWidth).map(i => robDeqGroup(deqPtrVec(i).value(bankAddrWidth-1,0)))).toSeq 201 val walkInfo = VecInit((0 until CommitWidth).map(i => robDeqGroup(walkPtrVec(i).value(bankAddrWidth-1, 0)))).toSeq 202 for (i <- 0 until CommitWidth) { 203 connectCommitEntry(robDeqGroup(i), robBanksRdataThisLineUpdate(i)) 204 when(allCommitted){ 205 connectCommitEntry(robDeqGroup(i), robBanksRdataNextLineUpdate(i)) 206 } 207 } 208 // data for debug 209 // Warn: debug_* prefix should not exist in generated verilog. 210 val debug_microOp = DebugMem(RobSize, new DynInst) 211 val debug_exuData = Reg(Vec(RobSize, UInt(XLEN.W))) //for debug 212 val debug_exuDebug = Reg(Vec(RobSize, new DebugBundle)) //for debug 213 val debug_lsInfo = RegInit(VecInit(Seq.fill(RobSize)(DebugLsInfo.init))) 214 val debug_lsTopdownInfo = RegInit(VecInit(Seq.fill(RobSize)(LsTopdownInfo.init))) 215 val debug_lqIdxValid = RegInit(VecInit.fill(RobSize)(false.B)) 216 val debug_lsIssued = RegInit(VecInit.fill(RobSize)(false.B)) 217 218 val isEmpty = enqPtr === deqPtr 219 val snptEnq = io.enq.canAccept && io.enq.req.map(x => x.valid && x.bits.snapshot).reduce(_ || _) 220 val snapshotPtrVec = Wire(Vec(CommitWidth, new RobPtr)) 221 snapshotPtrVec(0) := io.enq.req(0).bits.robIdx 222 for (i <- 1 until CommitWidth) { 223 snapshotPtrVec(i) := snapshotPtrVec(0) + i.U 224 } 225 val snapshots = SnapshotGenerator(snapshotPtrVec, snptEnq, io.snpt.snptDeq, io.redirect.valid, io.snpt.flushVec) 226 val debug_lsIssue = WireDefault(debug_lsIssued) 227 debug_lsIssue(deqPtr.value) := io.debugHeadLsIssue 228 229 /** 230 * states of Rob 231 */ 232 val s_idle :: s_walk :: Nil = Enum(2) 233 val state = RegInit(s_idle) 234 235 val exceptionGen = Module(new ExceptionGen(params)) 236 val exceptionDataRead = exceptionGen.io.state 237 val fflagsDataRead = Wire(Vec(CommitWidth, UInt(5.W))) 238 val vxsatDataRead = Wire(Vec(CommitWidth, Bool())) 239 io.robDeqPtr := deqPtr 240 io.debugRobHead := debug_microOp(deqPtr.value) 241 242 /** 243 * connection of [[rab]] 244 */ 245 rab.io.redirect.valid := io.redirect.valid 246 247 rab.io.req.zip(io.enq.req).map { case (dest, src) => 248 dest.bits := src.bits 249 dest.valid := src.valid && io.enq.canAccept 250 } 251 252 val walkDestSizeDeqGroup = RegInit(VecInit(Seq.fill(CommitWidth)(0.U(log2Up(MaxUopSize + 1).W)))) 253 val realDestSizeSeq = VecInit(robDeqGroup.zip(hasCommitted).map{case (r, h) => Mux(h, 0.U, r.realDestSize)}) 254 val walkDestSizeSeq = VecInit(robDeqGroup.zip(donotNeedWalk).map{case (r, d) => Mux(d, 0.U, r.realDestSize)}) 255 val commitSizeSumSeq = VecInit((0 until CommitWidth).map(i => realDestSizeSeq.take(i + 1).reduce(_ +& _))) 256 val walkSizeSumSeq = VecInit((0 until CommitWidth).map(i => walkDestSizeSeq.take(i + 1).reduce(_ +& _))) 257 val commitSizeSumCond = VecInit(commitValidThisLine.zip(hasCommitted).map{case (c,h) => (c || h) && io.commits.isCommit}) 258 val walkSizeSumCond = VecInit(io.commits.walkValid.zip(donotNeedWalk).map{case (w,d) => (w || d) && io.commits.isWalk}) 259 val commitSizeSum = PriorityMuxDefault(commitSizeSumCond.reverse.zip(commitSizeSumSeq.reverse), 0.U) 260 val walkSizeSum = PriorityMuxDefault(walkSizeSumCond.reverse.zip(walkSizeSumSeq.reverse), 0.U) 261 262 rab.io.fromRob.commitSize := commitSizeSum 263 rab.io.fromRob.walkSize := walkSizeSum 264 rab.io.snpt := io.snpt 265 rab.io.snpt.snptEnq := snptEnq 266 267 io.rabCommits := rab.io.commits 268 io.diffCommits.foreach(_ := rab.io.diffCommits.get) 269 270 /** 271 * connection of [[vtypeBuffer]] 272 */ 273 274 vtypeBuffer.io.redirect.valid := io.redirect.valid 275 276 vtypeBuffer.io.req.zip(io.enq.req).map { case (sink, source) => 277 sink.valid := source.valid && io.enq.canAccept 278 sink.bits := source.bits 279 } 280 281 private val commitIsVTypeVec = VecInit(io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => io.commits.isCommit && valid && info.isVset }) 282 private val walkIsVTypeVec = VecInit(io.commits.walkValid.zip(walkInfo).map { case (valid, info) => io.commits.isWalk && valid && info.isVset }) 283 vtypeBuffer.io.fromRob.commitSize := PopCount(commitIsVTypeVec) 284 vtypeBuffer.io.fromRob.walkSize := PopCount(walkIsVTypeVec) 285 vtypeBuffer.io.snpt := io.snpt 286 vtypeBuffer.io.snpt.snptEnq := snptEnq 287 io.toDecode.isResumeVType := vtypeBuffer.io.toDecode.isResumeVType 288 io.toDecode.commitVType := vtypeBuffer.io.toDecode.commitVType 289 io.toDecode.walkVType := vtypeBuffer.io.toDecode.walkVType 290 291 // When blockBackward instruction leaves Rob (commit or walk), hasBlockBackward should be set to false.B 292 // To reduce registers usage, for hasBlockBackward cases, we allow enqueue after ROB is empty. 293 when(isEmpty) { 294 hasBlockBackward := false.B 295 } 296 // When any instruction commits, hasNoSpecExec should be set to false.B 297 when(io.commits.hasWalkInstr || io.commits.hasCommitInstr) { 298 hasWaitForward := false.B 299 } 300 301 // The wait-for-interrupt (WFI) instruction waits in the ROB until an interrupt might need servicing. 302 // io.csr.wfiEvent will be asserted if the WFI can resume execution, and we change the state to s_wfi_idle. 303 // It does not affect how interrupts are serviced. Note that WFI is noSpecExec and it does not trigger interrupts. 304 val hasWFI = RegInit(false.B) 305 io.cpu_halt := hasWFI 306 // WFI Timeout: 2^20 = 1M cycles 307 val wfi_cycles = RegInit(0.U(20.W)) 308 when(hasWFI) { 309 wfi_cycles := wfi_cycles + 1.U 310 }.elsewhen(!hasWFI && RegNext(hasWFI)) { 311 wfi_cycles := 0.U 312 } 313 val wfi_timeout = wfi_cycles.andR 314 when(RegNext(RegNext(io.csr.wfiEvent)) || io.flushOut.valid || wfi_timeout) { 315 hasWFI := false.B 316 } 317 318 for (i <- 0 until RenameWidth) { 319 // we don't check whether io.redirect is valid here since redirect has higher priority 320 when(canEnqueue(i)) { 321 val enqUop = io.enq.req(i).bits 322 val enqIndex = allocatePtrVec(i).value 323 // store uop in data module and debug_microOp Vec 324 debug_microOp(enqIndex) := enqUop 325 debug_microOp(enqIndex).debugInfo.dispatchTime := timer 326 debug_microOp(enqIndex).debugInfo.enqRsTime := timer 327 debug_microOp(enqIndex).debugInfo.selectTime := timer 328 debug_microOp(enqIndex).debugInfo.issueTime := timer 329 debug_microOp(enqIndex).debugInfo.writebackTime := timer 330 debug_microOp(enqIndex).debugInfo.tlbFirstReqTime := timer 331 debug_microOp(enqIndex).debugInfo.tlbRespTime := timer 332 debug_lsInfo(enqIndex) := DebugLsInfo.init 333 debug_lsTopdownInfo(enqIndex) := LsTopdownInfo.init 334 debug_lqIdxValid(enqIndex) := false.B 335 debug_lsIssued(enqIndex) := false.B 336 when (enqUop.waitForward) { 337 hasWaitForward := true.B 338 } 339 val enqHasTriggerCanFire = io.enq.req(i).bits.trigger.getFrontendCanFire 340 val enqHasException = ExceptionNO.selectFrontend(enqUop.exceptionVec).asUInt.orR 341 // the begin instruction of Svinval enqs so mark doingSvinval as true to indicate this process 342 when(!enqHasTriggerCanFire && !enqHasException && enqUop.isSvinvalBegin(enqUop.flushPipe)) { 343 doingSvinval := true.B 344 } 345 // the end instruction of Svinval enqs so clear doingSvinval 346 when(!enqHasTriggerCanFire && !enqHasException && enqUop.isSvinvalEnd(enqUop.flushPipe)) { 347 doingSvinval := false.B 348 } 349 // when we are in the process of Svinval software code area , only Svinval.vma and end instruction of Svinval can appear 350 assert(!doingSvinval || (enqUop.isSvinval(enqUop.flushPipe) || enqUop.isSvinvalEnd(enqUop.flushPipe))) 351 when(enqUop.isWFI && !enqHasException && !enqHasTriggerCanFire) { 352 hasWFI := true.B 353 } 354 355 robEntries(enqIndex).mmio := false.B 356 robEntries(enqIndex).vls := enqUop.vlsInstr 357 } 358 } 359 360 for (i <- 0 until RenameWidth) { 361 val enqUop = io.enq.req(i) 362 when(enqUop.valid && enqUop.bits.blockBackward && io.enq.canAccept) { 363 hasBlockBackward := true.B 364 } 365 } 366 367 val dispatchNum = Mux(io.enq.canAccept, PopCount(io.enq.req.map(req => req.valid && req.bits.firstUop)), 0.U) 368 io.enq.isEmpty := RegNext(isEmpty && !VecInit(io.enq.req.map(_.valid)).asUInt.orR) 369 370 when(!io.wfi_enable) { 371 hasWFI := false.B 372 } 373 // sel vsetvl's flush position 374 val vs_idle :: vs_waitVinstr :: vs_waitFlush :: Nil = Enum(3) 375 val vsetvlState = RegInit(vs_idle) 376 377 val firstVInstrFtqPtr = RegInit(0.U.asTypeOf(new FtqPtr)) 378 val firstVInstrFtqOffset = RegInit(0.U.asTypeOf(UInt(log2Up(PredictWidth).W))) 379 val firstVInstrRobIdx = RegInit(0.U.asTypeOf(new RobPtr)) 380 381 val enq0 = io.enq.req(0) 382 val enq0IsVset = enq0.bits.isVset && enq0.bits.lastUop && canEnqueue(0) 383 val enq0IsVsetFlush = enq0IsVset && enq0.bits.flushPipe 384 val enqIsVInstrVec = io.enq.req.zip(canEnqueue).map { case (req, fire) => FuType.isVArith(req.bits.fuType) && fire } 385 // for vs_idle 386 val firstVInstrIdle = PriorityMux(enqIsVInstrVec.zip(io.enq.req).drop(1) :+ (true.B, 0.U.asTypeOf(io.enq.req(0).cloneType))) 387 // for vs_waitVinstr 388 val enqIsVInstrOrVset = (enqIsVInstrVec(0) || enq0IsVset) +: enqIsVInstrVec.drop(1) 389 val firstVInstrWait = PriorityMux(enqIsVInstrOrVset, io.enq.req) 390 when(vsetvlState === vs_idle) { 391 firstVInstrFtqPtr := firstVInstrIdle.bits.ftqPtr 392 firstVInstrFtqOffset := firstVInstrIdle.bits.ftqOffset 393 firstVInstrRobIdx := firstVInstrIdle.bits.robIdx 394 }.elsewhen(vsetvlState === vs_waitVinstr) { 395 when(Cat(enqIsVInstrOrVset).orR) { 396 firstVInstrFtqPtr := firstVInstrWait.bits.ftqPtr 397 firstVInstrFtqOffset := firstVInstrWait.bits.ftqOffset 398 firstVInstrRobIdx := firstVInstrWait.bits.robIdx 399 } 400 } 401 402 val hasVInstrAfterI = Cat(enqIsVInstrVec(0)).orR 403 when(vsetvlState === vs_idle && !io.redirect.valid) { 404 when(enq0IsVsetFlush) { 405 vsetvlState := Mux(hasVInstrAfterI, vs_waitFlush, vs_waitVinstr) 406 } 407 }.elsewhen(vsetvlState === vs_waitVinstr) { 408 when(io.redirect.valid) { 409 vsetvlState := vs_idle 410 }.elsewhen(Cat(enqIsVInstrOrVset).orR) { 411 vsetvlState := vs_waitFlush 412 } 413 }.elsewhen(vsetvlState === vs_waitFlush) { 414 when(io.redirect.valid) { 415 vsetvlState := vs_idle 416 } 417 } 418 419 // lqEnq 420 io.debugEnqLsq.needAlloc.map(_(0)).zip(io.debugEnqLsq.req).foreach { case (alloc, req) => 421 when(io.debugEnqLsq.canAccept && alloc && req.valid) { 422 debug_microOp(req.bits.robIdx.value).lqIdx := req.bits.lqIdx 423 debug_lqIdxValid(req.bits.robIdx.value) := true.B 424 } 425 } 426 427 // lsIssue 428 when(io.debugHeadLsIssue) { 429 debug_lsIssued(deqPtr.value) := true.B 430 } 431 432 /** 433 * Writeback (from execution units) 434 */ 435 for (wb <- exuWBs) { 436 when(wb.valid) { 437 val wbIdx = wb.bits.robIdx.value 438 debug_exuData(wbIdx) := wb.bits.data(0) 439 debug_exuDebug(wbIdx) := wb.bits.debug 440 debug_microOp(wbIdx).debugInfo.enqRsTime := wb.bits.debugInfo.enqRsTime 441 debug_microOp(wbIdx).debugInfo.selectTime := wb.bits.debugInfo.selectTime 442 debug_microOp(wbIdx).debugInfo.issueTime := wb.bits.debugInfo.issueTime 443 debug_microOp(wbIdx).debugInfo.writebackTime := wb.bits.debugInfo.writebackTime 444 445 // debug for lqidx and sqidx 446 debug_microOp(wbIdx).lqIdx := wb.bits.lqIdx.getOrElse(0.U.asTypeOf(new LqPtr)) 447 debug_microOp(wbIdx).sqIdx := wb.bits.sqIdx.getOrElse(0.U.asTypeOf(new SqPtr)) 448 449 val debug_Uop = debug_microOp(wbIdx) 450 XSInfo(true.B, 451 p"writebacked pc 0x${Hexadecimal(debug_Uop.pc)} wen ${debug_Uop.rfWen} " + 452 p"data 0x${Hexadecimal(wb.bits.data(0))} ldst ${debug_Uop.ldest} pdst ${debug_Uop.pdest} " + 453 p"skip ${wb.bits.debug.isMMIO} robIdx: ${wb.bits.robIdx}\n" 454 ) 455 } 456 } 457 458 val writebackNum = PopCount(exuWBs.map(_.valid)) 459 XSInfo(writebackNum =/= 0.U, "writebacked %d insts\n", writebackNum) 460 461 for (i <- 0 until LoadPipelineWidth) { 462 when(RegNext(io.lsq.mmio(i))) { 463 robEntries(RegEnable(io.lsq.uop(i).robIdx, io.lsq.mmio(i)).value).mmio := true.B 464 } 465 } 466 467 468 /** 469 * RedirectOut: Interrupt and Exceptions 470 */ 471 val deqDispatchData = robEntries(deqPtr.value) 472 val debug_deqUop = debug_microOp(deqPtr.value) 473 474 val deqPtrEntry = robDeqGroup(deqPtr.value(bankAddrWidth-1,0)) 475 val deqPtrEntryValid = deqPtrEntry.commit_v 476 val intrBitSetReg = RegNext(io.csr.intrBitSet) 477 val intrEnable = intrBitSetReg && !hasWaitForward && deqPtrEntry.interrupt_safe 478 val deqNeedFlush = deqPtrEntry.needFlush && deqPtrEntry.commit_v && deqPtrEntry.commit_w 479 val deqHitExceptionGenState = exceptionDataRead.valid && exceptionDataRead.bits.robIdx === deqPtr 480 val deqNeedFlushAndHitExceptionGenState = deqNeedFlush && deqHitExceptionGenState 481 val exceptionGenStateIsException = exceptionDataRead.bits.exceptionVec.asUInt.orR || exceptionDataRead.bits.singleStep || exceptionDataRead.bits.trigger.canFire 482 val deqHasException = deqNeedFlushAndHitExceptionGenState && exceptionGenStateIsException 483 val deqHasFlushPipe = deqNeedFlushAndHitExceptionGenState && exceptionDataRead.bits.flushPipe 484 val deqHasReplayInst = deqNeedFlushAndHitExceptionGenState && exceptionDataRead.bits.replayInst 485 486 XSDebug(deqHasException && exceptionDataRead.bits.singleStep, "Debug Mode: Deq has singlestep exception\n") 487 XSDebug(deqHasException && exceptionDataRead.bits.trigger.getFrontendCanFire, "Debug Mode: Deq has frontend trigger exception\n") 488 XSDebug(deqHasException && exceptionDataRead.bits.trigger.getBackendCanFire, "Debug Mode: Deq has backend trigger exception\n") 489 490 val isFlushPipe = deqPtrEntry.commit_w && (deqHasFlushPipe || deqHasReplayInst) 491 492 val isVsetFlushPipe = deqPtrEntry.commit_w && deqHasFlushPipe && exceptionDataRead.bits.isVset 493 // val needModifyFtqIdxOffset = isVsetFlushPipe && (vsetvlState === vs_waitFlush) 494 val needModifyFtqIdxOffset = false.B 495 io.isVsetFlushPipe := isVsetFlushPipe 496 // io.flushOut will trigger redirect at the next cycle. 497 // Block any redirect or commit at the next cycle. 498 val lastCycleFlush = RegNext(io.flushOut.valid) 499 500 io.flushOut.valid := (state === s_idle) && deqPtrEntryValid && (intrEnable || deqHasException || isFlushPipe) && !lastCycleFlush 501 io.flushOut.bits := DontCare 502 io.flushOut.bits.isRVC := deqDispatchData.isRVC 503 io.flushOut.bits.robIdx := Mux(needModifyFtqIdxOffset, firstVInstrRobIdx, deqPtr) 504 io.flushOut.bits.ftqIdx := Mux(needModifyFtqIdxOffset, firstVInstrFtqPtr, deqDispatchData.ftqIdx) 505 io.flushOut.bits.ftqOffset := Mux(needModifyFtqIdxOffset, firstVInstrFtqOffset, deqDispatchData.ftqOffset) 506 io.flushOut.bits.level := Mux(deqHasReplayInst || intrEnable || deqHasException || needModifyFtqIdxOffset, RedirectLevel.flush, RedirectLevel.flushAfter) // TODO use this to implement "exception next" 507 io.flushOut.bits.interrupt := true.B 508 XSPerfAccumulate("interrupt_num", io.flushOut.valid && intrEnable) 509 XSPerfAccumulate("exception_num", io.flushOut.valid && deqHasException) 510 XSPerfAccumulate("flush_pipe_num", io.flushOut.valid && isFlushPipe) 511 XSPerfAccumulate("replay_inst_num", io.flushOut.valid && isFlushPipe && deqHasReplayInst) 512 513 val exceptionHappen = (state === s_idle) && deqPtrEntryValid && (intrEnable || deqHasException) && !lastCycleFlush 514 io.exception.valid := RegNext(exceptionHappen) 515 io.exception.bits.pc := RegEnable(debug_deqUop.pc, exceptionHappen) 516 io.exception.bits.gpaddr := io.readGPAMemData 517 io.exception.bits.instr := RegEnable(debug_deqUop.instr, exceptionHappen) 518 io.exception.bits.commitType := RegEnable(deqDispatchData.commitType, exceptionHappen) 519 io.exception.bits.exceptionVec := RegEnable(exceptionDataRead.bits.exceptionVec, exceptionHappen) 520 io.exception.bits.singleStep := RegEnable(exceptionDataRead.bits.singleStep, exceptionHappen) 521 io.exception.bits.crossPageIPFFix := RegEnable(exceptionDataRead.bits.crossPageIPFFix, exceptionHappen) 522 io.exception.bits.isInterrupt := RegEnable(intrEnable, exceptionHappen) 523 io.exception.bits.isHls := RegEnable(deqDispatchData.isHls, exceptionHappen) 524 io.exception.bits.vls := RegEnable(robEntries(deqPtr.value).vls, exceptionHappen) 525 io.exception.bits.trigger := RegEnable(exceptionDataRead.bits.trigger, exceptionHappen) 526 527 // data will be one cycle after valid 528 io.readGPAMemAddr.valid := exceptionHappen 529 io.readGPAMemAddr.bits.ftqPtr := exceptionDataRead.bits.ftqPtr 530 io.readGPAMemAddr.bits.ftqOffset := exceptionDataRead.bits.ftqOffset 531 532 XSDebug(io.flushOut.valid, 533 p"generate redirect: pc 0x${Hexadecimal(io.exception.bits.pc)} intr $intrEnable " + 534 p"excp $deqHasException flushPipe $isFlushPipe " + 535 p"Trap_target 0x${Hexadecimal(io.csr.trapTarget)} exceptionVec ${Binary(exceptionDataRead.bits.exceptionVec.asUInt)}\n") 536 537 538 /** 539 * Commits (and walk) 540 * They share the same width. 541 */ 542 // T redirect.valid, T+1 use walkPtrVec read robEntries, T+2 start walk, shouldWalkVec used in T+2 543 val shouldWalkVec = Wire(Vec(CommitWidth,Bool())) 544 val walkingPtrVec = RegNext(walkPtrVec) 545 when(io.redirect.valid){ 546 shouldWalkVec := 0.U.asTypeOf(shouldWalkVec) 547 }.elsewhen(RegNext(io.redirect.valid)){ 548 shouldWalkVec := 0.U.asTypeOf(shouldWalkVec) 549 }.elsewhen(state === s_walk){ 550 shouldWalkVec := VecInit(walkingPtrVec.map(_ <= lastWalkPtr).zip(donotNeedWalk).map(x => x._1 && !x._2)) 551 }.otherwise( 552 shouldWalkVec := 0.U.asTypeOf(shouldWalkVec) 553 ) 554 val walkFinished = walkPtrTrue > lastWalkPtr 555 rab.io.fromRob.walkEnd := state === s_walk && walkFinished 556 vtypeBuffer.io.fromRob.walkEnd := state === s_walk && walkFinished 557 558 require(RenameWidth <= CommitWidth) 559 560 // wiring to csr 561 val (wflags, dirtyFs) = (0 until CommitWidth).map(i => { 562 val v = io.commits.commitValid(i) 563 val info = io.commits.info(i) 564 (v & info.wflags, v & info.dirtyFs) 565 }).unzip 566 val fflags = Wire(Valid(UInt(5.W))) 567 fflags.valid := io.commits.isCommit && VecInit(wflags).asUInt.orR 568 fflags.bits := wflags.zip(fflagsDataRead).map({ 569 case (w, f) => Mux(w, f, 0.U) 570 }).reduce(_ | _) 571 val dirtyVs = (0 until CommitWidth).map(i => { 572 val v = io.commits.commitValid(i) 573 val info = io.commits.info(i) 574 v & info.dirtyVs 575 }) 576 val dirty_fs = io.commits.isCommit && VecInit(dirtyFs).asUInt.orR 577 val dirty_vs = io.commits.isCommit && VecInit(dirtyVs).asUInt.orR 578 579 val resetVstart = dirty_vs && !io.vstartIsZero 580 581 io.csr.vstart.valid := RegNext(Mux(exceptionHappen, exceptionDataRead.bits.vstartEn, resetVstart)) 582 io.csr.vstart.bits := RegNext(Mux(exceptionHappen, exceptionDataRead.bits.vstart, 0.U)) 583 584 val vxsat = Wire(Valid(Bool())) 585 vxsat.valid := io.commits.isCommit && vxsat.bits 586 vxsat.bits := io.commits.commitValid.zip(vxsatDataRead).map { 587 case (valid, vxsat) => valid & vxsat 588 }.reduce(_ | _) 589 590 // when mispredict branches writeback, stop commit in the next 2 cycles 591 // TODO: don't check all exu write back 592 val misPredWb = Cat(VecInit(redirectWBs.map(wb => 593 wb.bits.redirect.get.bits.cfiUpdate.isMisPred && wb.bits.redirect.get.valid && wb.valid 594 ).toSeq)).orR 595 val misPredBlockCounter = Reg(UInt(3.W)) 596 misPredBlockCounter := Mux(misPredWb, 597 "b111".U, 598 misPredBlockCounter >> 1.U 599 ) 600 val misPredBlock = misPredBlockCounter(0) 601 val deqFlushBlockCounter = Reg(UInt(3.W)) 602 val deqFlushBlock = deqFlushBlockCounter(0) 603 val deqHasFlushed = Reg(Bool()) 604 val deqHitRedirectReg = RegNext(io.redirect.valid && io.redirect.bits.robIdx === deqPtr) 605 when(deqNeedFlush && deqHitRedirectReg){ 606 deqFlushBlockCounter := "b111".U 607 }.otherwise{ 608 deqFlushBlockCounter := deqFlushBlockCounter >> 1.U 609 } 610 when(deqNeedFlush && io.flushOut.valid){ 611 deqHasFlushed := true.B 612 }.elsewhen(!deqNeedFlush){ 613 deqHasFlushed := false.B 614 } 615 val blockCommit = misPredBlock || lastCycleFlush || hasWFI || io.redirect.valid || (deqNeedFlush && !deqHasFlushed && !deqHasFlushPipe) || deqFlushBlock 616 617 io.commits.isWalk := state === s_walk 618 io.commits.isCommit := state === s_idle && !blockCommit 619 620 val walk_v = VecInit(walkingPtrVec.map(ptr => robEntries(ptr.value).valid)) 621 val commit_vDeqGroup = VecInit(robDeqGroup.map(_.commit_v)) 622 val commit_wDeqGroup = VecInit(robDeqGroup.map(_.commit_w)) 623 val realCommitLast = deqPtrVec(0).lineHeadPtr + Fill(bankAddrWidth, 1.U) 624 val commit_block = VecInit((0 until CommitWidth).map(i => !commit_wDeqGroup(i) && !hasCommitted(i))) 625 val allowOnlyOneCommit = VecInit(robDeqGroup.map(x => x.commit_v && x.needFlush)).asUInt.orR || intrBitSetReg 626 // for instructions that may block others, we don't allow them to commit 627 io.commits.commitValid := PriorityMux(commitValidThisLine, (0 until CommitWidth).map(i => (commitValidThisLine.asUInt >> i).asUInt.asTypeOf(io.commits.commitValid))) 628 629 for (i <- 0 until CommitWidth) { 630 // defaults: state === s_idle and instructions commit 631 // when intrBitSetReg, allow only one instruction to commit at each clock cycle 632 val isBlocked = intrEnable || (deqNeedFlush && !deqHasFlushed && !deqHasFlushPipe) 633 val isBlockedByOlder = if (i != 0) commit_block.asUInt(i, 0).orR || allowOnlyOneCommit && !hasCommitted.asUInt(i - 1, 0).andR else false.B 634 commitValidThisLine(i) := commit_vDeqGroup(i) && commit_wDeqGroup(i) && !isBlocked && !isBlockedByOlder && !hasCommitted(i) 635 io.commits.info(i) := commitInfo(i) 636 io.commits.robIdx(i) := deqPtrVec(i) 637 638 io.commits.walkValid(i) := shouldWalkVec(i) 639 when(state === s_walk) { 640 when(io.commits.isWalk && state === s_walk && shouldWalkVec(i)) { 641 XSError(!walk_v(i), s"The walking entry($i) should be valid\n") 642 } 643 } 644 645 XSInfo(io.commits.isCommit && io.commits.commitValid(i), 646 "retired pc %x wen %d ldest %d pdest %x data %x fflags: %b vxsat: %b\n", 647 debug_microOp(deqPtrVec(i).value).pc, 648 io.commits.info(i).rfWen, 649 io.commits.info(i).debug_ldest.getOrElse(0.U), 650 io.commits.info(i).debug_pdest.getOrElse(0.U), 651 debug_exuData(deqPtrVec(i).value), 652 fflagsDataRead(i), 653 vxsatDataRead(i) 654 ) 655 XSInfo(state === s_walk && io.commits.walkValid(i), "walked pc %x wen %d ldst %d data %x\n", 656 debug_microOp(walkPtrVec(i).value).pc, 657 io.commits.info(i).rfWen, 658 io.commits.info(i).debug_ldest.getOrElse(0.U), 659 debug_exuData(walkPtrVec(i).value) 660 ) 661 } 662 663 // sync fflags/dirty_fs/vxsat to csr 664 io.csr.fflags := RegNext(fflags) 665 io.csr.dirty_fs := RegNext(dirty_fs) 666 io.csr.dirty_vs := RegNext(dirty_vs) 667 io.csr.vxsat := RegNext(vxsat) 668 669 // commit load/store to lsq 670 val ldCommitVec = VecInit((0 until CommitWidth).map(i => io.commits.commitValid(i) && io.commits.info(i).commitType === CommitType.LOAD)) 671 // TODO: Check if meet the require that only set scommit when commit scala store uop 672 val stCommitVec = VecInit((0 until CommitWidth).map(i => io.commits.commitValid(i) && io.commits.info(i).commitType === CommitType.STORE && !robEntries(deqPtrVec(i).value).vls )) 673 val deqPtrVec_next = Wire(Vec(CommitWidth, Output(new RobPtr))) 674 io.lsq.lcommit := RegNext(Mux(io.commits.isCommit, PopCount(ldCommitVec), 0.U)) 675 io.lsq.scommit := RegNext(Mux(io.commits.isCommit, PopCount(stCommitVec), 0.U)) 676 // indicate a pending load or store 677 io.lsq.pendingld := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.LOAD && robEntries(deqPtr.value).valid && robEntries(deqPtr.value).mmio) 678 // TODO: Check if need deassert pendingst when it is vst 679 io.lsq.pendingst := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.STORE && robEntries(deqPtr.value).valid) 680 // TODO: Check if set correctly when vector store is at the head of ROB 681 io.lsq.pendingVst := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.STORE && robEntries(deqPtr.value).valid && robEntries(deqPtr.value).vls) 682 io.lsq.commit := RegNext(io.commits.isCommit && io.commits.commitValid(0)) 683 io.lsq.pendingPtr := RegNext(deqPtr) 684 io.lsq.pendingPtrNext := RegNext(deqPtrVec_next.head) 685 686 /** 687 * state changes 688 * (1) redirect: switch to s_walk 689 * (2) walk: when walking comes to the end, switch to s_idle 690 */ 691 val state_next = Mux( 692 io.redirect.valid || RegNext(io.redirect.valid), s_walk, 693 Mux( 694 state === s_walk && walkFinished && rab.io.status.walkEnd && vtypeBuffer.io.status.walkEnd, s_idle, 695 state 696 ) 697 ) 698 XSPerfAccumulate("s_idle_to_idle", state === s_idle && state_next === s_idle) 699 XSPerfAccumulate("s_idle_to_walk", state === s_idle && state_next === s_walk) 700 XSPerfAccumulate("s_walk_to_idle", state === s_walk && state_next === s_idle) 701 XSPerfAccumulate("s_walk_to_walk", state === s_walk && state_next === s_walk) 702 state := state_next 703 704 /** 705 * pointers and counters 706 */ 707 val deqPtrGenModule = Module(new NewRobDeqPtrWrapper) 708 deqPtrGenModule.io.state := state 709 deqPtrGenModule.io.deq_v := commit_vDeqGroup 710 deqPtrGenModule.io.deq_w := commit_wDeqGroup 711 deqPtrGenModule.io.exception_state := exceptionDataRead 712 deqPtrGenModule.io.intrBitSetReg := intrBitSetReg 713 deqPtrGenModule.io.hasNoSpecExec := hasWaitForward 714 deqPtrGenModule.io.allowOnlyOneCommit := allowOnlyOneCommit 715 deqPtrGenModule.io.interrupt_safe := robDeqGroup(deqPtr.value(bankAddrWidth-1,0)).interrupt_safe 716 deqPtrGenModule.io.blockCommit := blockCommit 717 deqPtrGenModule.io.hasCommitted := hasCommitted 718 deqPtrGenModule.io.allCommitted := allCommitted 719 deqPtrVec := deqPtrGenModule.io.out 720 deqPtrVec_next := deqPtrGenModule.io.next_out 721 722 val enqPtrGenModule = Module(new RobEnqPtrWrapper) 723 enqPtrGenModule.io.redirect := io.redirect 724 enqPtrGenModule.io.allowEnqueue := allowEnqueue && rab.io.canEnq 725 enqPtrGenModule.io.hasBlockBackward := hasBlockBackward 726 enqPtrGenModule.io.enq := VecInit(io.enq.req.map(req => req.valid && req.bits.firstUop)) 727 enqPtrVec := enqPtrGenModule.io.out 728 729 // next walkPtrVec: 730 // (1) redirect occurs: update according to state 731 // (2) walk: move forwards 732 val deqPtrReadBank = deqPtrVec_next(0).lineHeadPtr 733 val deqPtrVecForWalk = VecInit((0 until CommitWidth).map(i => deqPtrReadBank + i.U)) 734 val snapPtrReadBank = snapshots(io.snpt.snptSelect)(0).lineHeadPtr 735 val snapPtrVecForWalk = VecInit((0 until CommitWidth).map(i => snapPtrReadBank + i.U)) 736 val walkPtrVec_next: Vec[RobPtr] = Mux(io.redirect.valid, 737 Mux(io.snpt.useSnpt, snapPtrVecForWalk, deqPtrVecForWalk), 738 Mux((state === s_walk) && !walkFinished, VecInit(walkPtrVec.map(_ + CommitWidth.U)), walkPtrVec) 739 ) 740 val walkPtrTrue_next: RobPtr = Mux(io.redirect.valid, 741 Mux(io.snpt.useSnpt, snapshots(io.snpt.snptSelect)(0), deqPtrVec_next(0)), 742 Mux((state === s_walk) && !walkFinished, walkPtrVec_next.head, walkPtrTrue) 743 ) 744 walkPtrHead := walkPtrVec_next.head 745 walkPtrVec := walkPtrVec_next 746 walkPtrTrue := walkPtrTrue_next 747 // T io.redirect.valid, T+1 walkPtrLowBits update, T+2 donotNeedWalk update 748 val walkPtrLowBits = Reg(UInt(bankAddrWidth.W)) 749 when(io.redirect.valid){ 750 walkPtrLowBits := Mux(io.snpt.useSnpt, snapshots(io.snpt.snptSelect)(0).value(bankAddrWidth-1, 0), deqPtrVec_next(0).value(bankAddrWidth-1, 0)) 751 } 752 when(io.redirect.valid) { 753 donotNeedWalk := Fill(donotNeedWalk.length, true.B).asTypeOf(donotNeedWalk) 754 }.elsewhen(RegNext(io.redirect.valid)){ 755 donotNeedWalk := (0 until CommitWidth).map(i => (i.U < walkPtrLowBits)) 756 }.otherwise{ 757 donotNeedWalk := 0.U.asTypeOf(donotNeedWalk) 758 } 759 walkDestSizeDeqGroup.zip(walkPtrVec_next).map { 760 case (reg, ptrNext) => reg := robEntries(deqPtr.value).realDestSize 761 } 762 val numValidEntries = distanceBetween(enqPtr, deqPtr) 763 val commitCnt = PopCount(io.commits.commitValid) 764 765 allowEnqueue := numValidEntries + dispatchNum <= (RobSize - CommitWidth).U 766 767 val redirectWalkDistance = distanceBetween(io.redirect.bits.robIdx, deqPtrVec_next(0)) 768 when(io.redirect.valid) { 769 lastWalkPtr := Mux(io.redirect.bits.flushItself(), io.redirect.bits.robIdx - 1.U, io.redirect.bits.robIdx) 770 } 771 772 773 /** 774 * States 775 * We put all the stage bits changes here. 776 * 777 * All events: (1) enqueue (dispatch); (2) writeback; (3) cancel; (4) dequeue (commit); 778 * All states: (1) valid; (2) writebacked; (3) flagBkup 779 */ 780 781 val deqPtrGroup = Wire(Vec(2 * CommitWidth, new RobPtr)) 782 deqPtrGroup.zipWithIndex.map { case (deq, i) => deq := deqPtrVec(0) + i.U } 783 val commitReadAddr = Mux(state === s_idle, VecInit(deqPtrVec.map(_.value)), VecInit(walkPtrVec.map(_.value))) 784 785 val redirectValidReg = RegNext(io.redirect.valid) 786 val redirectBegin = Reg(UInt(log2Up(RobSize).W)) 787 val redirectEnd = Reg(UInt(log2Up(RobSize).W)) 788 when(io.redirect.valid){ 789 redirectBegin := Mux(io.redirect.bits.flushItself(), io.redirect.bits.robIdx.value - 1.U, io.redirect.bits.robIdx.value) 790 redirectEnd := enqPtr.value 791 } 792 793 // update robEntries valid 794 for (i <- 0 until RobSize) { 795 val enqOH = VecInit(canEnqueue.zip(allocatePtrVec.map(_.value === i.U)).map(x => x._1 && x._2)) 796 val commitCond = io.commits.isCommit && io.commits.commitValid.zip(deqPtrVec.map(_.value === i.U)).map(x => x._1 && x._2).reduce(_ || _) 797 assert(PopCount(enqOH) < 2.U, s"robEntries$i enqOH is not one hot") 798 val needFlush = redirectValidReg && Mux( 799 redirectEnd > redirectBegin, 800 (i.U > redirectBegin) && (i.U < redirectEnd), 801 (i.U > redirectBegin) || (i.U < redirectEnd) 802 ) 803 when(reset.asBool) { 804 robEntries(i).valid := false.B 805 }.elsewhen(commitCond) { 806 robEntries(i).valid := false.B 807 }.elsewhen(enqOH.asUInt.orR && !io.redirect.valid) { 808 robEntries(i).valid := true.B 809 }.elsewhen(needFlush){ 810 robEntries(i).valid := false.B 811 } 812 } 813 814 // debug_inst update 815 for (i <- 0 until (LduCnt + StaCnt)) { 816 debug_lsInfo(io.debug_ls.debugLsInfo(i).s1_robIdx).s1SignalEnable(io.debug_ls.debugLsInfo(i)) 817 debug_lsInfo(io.debug_ls.debugLsInfo(i).s2_robIdx).s2SignalEnable(io.debug_ls.debugLsInfo(i)) 818 debug_lsInfo(io.debug_ls.debugLsInfo(i).s3_robIdx).s3SignalEnable(io.debug_ls.debugLsInfo(i)) 819 } 820 for (i <- 0 until LduCnt) { 821 debug_lsTopdownInfo(io.lsTopdownInfo(i).s1.robIdx).s1SignalEnable(io.lsTopdownInfo(i)) 822 debug_lsTopdownInfo(io.lsTopdownInfo(i).s2.robIdx).s2SignalEnable(io.lsTopdownInfo(i)) 823 } 824 825 // status field: writebacked 826 // enqueue logic set 6 writebacked to false 827 for (i <- 0 until RenameWidth) { 828 when(canEnqueue(i)) { 829 val enqHasException = ExceptionNO.selectFrontend(io.enq.req(i).bits.exceptionVec).asUInt.orR 830 val enqHasTriggerCanFire = io.enq.req(i).bits.trigger.getFrontendCanFire 831 val enqIsWritebacked = io.enq.req(i).bits.eliminatedMove 832 val isStu = FuType.isStore(io.enq.req(i).bits.fuType) 833 robEntries(allocatePtrVec(i).value).commitTrigger := enqIsWritebacked && !enqHasException && !enqHasTriggerCanFire && !isStu 834 } 835 } 836 when(exceptionGen.io.out.valid) { 837 val wbIdx = exceptionGen.io.out.bits.robIdx.value 838 robEntries(wbIdx).commitTrigger := true.B 839 } 840 841 // writeback logic set numWbPorts writebacked to true 842 val blockWbSeq = Wire(Vec(exuWBs.length, Bool())) 843 blockWbSeq.map(_ := false.B) 844 for ((wb, blockWb) <- exuWBs.zip(blockWbSeq)) { 845 when(wb.valid) { 846 val wbIdx = wb.bits.robIdx.value 847 val wbHasException = wb.bits.exceptionVec.getOrElse(0.U).asUInt.orR 848 val wbHasTriggerCanFire = wb.bits.trigger.getOrElse(0.U).asTypeOf(io.enq.req(0).bits.trigger).getBackendCanFire //Todo: wb.bits.trigger.getHitBackend 849 val wbHasFlushPipe = wb.bits.flushPipe.getOrElse(false.B) 850 val wbHasReplayInst = wb.bits.replay.getOrElse(false.B) //Todo: && wb.bits.replayInst 851 blockWb := wbHasException || wbHasFlushPipe || wbHasReplayInst || wbHasTriggerCanFire 852 robEntries(wbIdx).commitTrigger := !blockWb 853 } 854 } 855 856 // if the first uop of an instruction is valid , write writebackedCounter 857 val uopEnqValidSeq = io.enq.req.map(req => io.enq.canAccept && req.valid) 858 val instEnqValidSeq = io.enq.req.map(req => io.enq.canAccept && req.valid && req.bits.firstUop) 859 val enqNeedWriteRFSeq = io.enq.req.map(_.bits.needWriteRf) 860 val enqRobIdxSeq = io.enq.req.map(req => req.bits.robIdx.value) 861 val enqUopNumVec = VecInit(io.enq.req.map(req => req.bits.numUops)) 862 val enqWBNumVec = VecInit(io.enq.req.map(req => req.bits.numWB)) 863 val enqEliminatedMoveVec = VecInit(io.enq.req.map(req => req.bits.eliminatedMove)) 864 865 private val enqWriteStdVec: Vec[Bool] = VecInit(io.enq.req.map { 866 req => FuType.isAMO(req.bits.fuType) || FuType.isStore(req.bits.fuType) 867 }) 868 val fflags_wb = fflagsWBs 869 val vxsat_wb = vxsatWBs 870 for (i <- 0 until RobSize) { 871 872 val robIdxMatchSeq = io.enq.req.map(_.bits.robIdx.value === i.U) 873 val uopCanEnqSeq = uopEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch } 874 val instCanEnqSeq = instEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch } 875 val instCanEnqFlag = Cat(instCanEnqSeq).orR 876 val realDestEnqNum = PopCount(enqNeedWriteRFSeq.zip(uopCanEnqSeq).map { case (writeFlag, valid) => writeFlag && valid }) 877 when(!robEntries(i).valid && instCanEnqFlag){ 878 robEntries(i).realDestSize := realDestEnqNum 879 }.elsewhen(robEntries(i).valid && Cat(uopCanEnqSeq).orR){ 880 robEntries(i).realDestSize := robEntries(i).realDestSize + realDestEnqNum 881 } 882 val enqUopNum = PriorityMux(instCanEnqSeq, enqUopNumVec) 883 val enqWBNum = PriorityMux(instCanEnqSeq, enqWBNumVec) 884 val enqEliminatedMove = PriorityMux(instCanEnqSeq, enqEliminatedMoveVec) 885 val enqWriteStd = PriorityMux(instCanEnqSeq, enqWriteStdVec) 886 887 val canWbSeq = exuWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U) 888 val canWbNoBlockSeq = canWbSeq.zip(blockWbSeq).map { case (canWb, blockWb) => canWb && !blockWb } 889 val canStdWbSeq = VecInit(stdWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U)) 890 val wbCnt = Mux1H(canWbSeq, io.writebackNums.map(_.bits)) 891 892 val canWbExceptionSeq = exceptionWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U) 893 val needFlush = robEntries(i).needFlush 894 val needFlushWriteBack = Wire(Bool()) 895 needFlushWriteBack := Mux1H(canWbExceptionSeq, io.writebackNeedFlush) 896 when(robEntries(i).valid){ 897 needFlush := needFlush || needFlushWriteBack 898 } 899 900 when(robEntries(i).valid && (needFlush || needFlushWriteBack)) { 901 // exception flush 902 robEntries(i).uopNum := robEntries(i).uopNum - wbCnt 903 robEntries(i).stdWritebacked := true.B 904 }.elsewhen(!robEntries(i).valid && instCanEnqFlag) { 905 // enq set num of uops 906 robEntries(i).uopNum := enqWBNum 907 robEntries(i).stdWritebacked := Mux(enqWriteStd, false.B, true.B) 908 }.elsewhen(robEntries(i).valid) { 909 // update by writing back 910 robEntries(i).uopNum := robEntries(i).uopNum - wbCnt 911 assert(!(robEntries(i).uopNum - wbCnt > robEntries(i).uopNum), s"robEntries $i uopNum is overflow!") 912 when(canStdWbSeq.asUInt.orR) { 913 robEntries(i).stdWritebacked := true.B 914 } 915 } 916 917 val fflagsCanWbSeq = fflags_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U && writeback.bits.wflags.getOrElse(false.B)) 918 val fflagsRes = fflagsCanWbSeq.zip(fflags_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.fflags.get, 0.U) }.fold(false.B)(_ | _) 919 robEntries(i).fflags := Mux(!robEntries(i).valid && instCanEnqFlag, 0.U, robEntries(i).fflags | fflagsRes) 920 921 val vxsatCanWbSeq = vxsat_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U) 922 val vxsatRes = vxsatCanWbSeq.zip(vxsat_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.vxsat.get, 0.U) }.fold(false.B)(_ | _) 923 robEntries(i).vxsat := Mux(!robEntries(i).valid && instCanEnqFlag, 0.U, robEntries(i).vxsat | vxsatRes) 924 } 925 926 // begin update robBanksRdata 927 val robBanksRdata = VecInit(robBanksRdataThisLine ++ robBanksRdataNextLine) 928 val needUpdate = Wire(Vec(2 * CommitWidth, new RobEntryBundle)) 929 needUpdate := VecInit(robBanksRdataThisLine ++ robBanksRdataNextLine) 930 val needUpdateRobIdx = robIdxThisLine ++ robIdxNextLine 931 for (i <- 0 until 2 * CommitWidth) { 932 val robIdxMatchSeq = io.enq.req.map(_.bits.robIdx.value === needUpdateRobIdx(i)) 933 val uopCanEnqSeq = uopEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch } 934 val instCanEnqSeq = instEnqValidSeq.zip(robIdxMatchSeq).map { case (valid, isMatch) => valid && isMatch } 935 val instCanEnqFlag = Cat(instCanEnqSeq).orR 936 val realDestEnqNum = PopCount(enqNeedWriteRFSeq.zip(uopCanEnqSeq).map { case (writeFlag, valid) => writeFlag && valid }) 937 when(!needUpdate(i).valid && instCanEnqFlag) { 938 needUpdate(i).realDestSize := realDestEnqNum 939 }.elsewhen(needUpdate(i).valid && instCanEnqFlag) { 940 needUpdate(i).realDestSize := robBanksRdata(i).realDestSize + realDestEnqNum 941 } 942 val enqUopNum = PriorityMux(instCanEnqSeq, enqUopNumVec) 943 val enqWBNum = PriorityMux(instCanEnqSeq, enqWBNumVec) 944 val enqEliminatedMove = PriorityMux(instCanEnqSeq, enqEliminatedMoveVec) 945 val enqWriteStd = PriorityMux(instCanEnqSeq, enqWriteStdVec) 946 947 val canWbSeq = exuWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === needUpdateRobIdx(i)) 948 val canWbNoBlockSeq = canWbSeq.zip(blockWbSeq).map { case (canWb, blockWb) => canWb && !blockWb } 949 val canStdWbSeq = VecInit(stdWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === needUpdateRobIdx(i))) 950 val wbCnt = Mux1H(canWbSeq, io.writebackNums.map(_.bits)) 951 952 val canWbExceptionSeq = exceptionWBs.map(writeback => writeback.valid && (writeback.bits.robIdx.value === needUpdateRobIdx(i))) 953 val needFlush = robBanksRdata(i).needFlush 954 val needFlushWriteBack = Wire(Bool()) 955 needFlushWriteBack := Mux1H(canWbExceptionSeq, io.writebackNeedFlush) 956 when(needUpdate(i).valid) { 957 needUpdate(i).needFlush := needFlush || needFlushWriteBack 958 } 959 960 when(needUpdate(i).valid && (needFlush || needFlushWriteBack)) { 961 // exception flush 962 needUpdate(i).uopNum := robBanksRdata(i).uopNum - wbCnt 963 needUpdate(i).stdWritebacked := true.B 964 }.elsewhen(!needUpdate(i).valid && instCanEnqFlag) { 965 // enq set num of uops 966 needUpdate(i).uopNum := enqWBNum 967 needUpdate(i).stdWritebacked := Mux(enqWriteStd, false.B, true.B) 968 }.elsewhen(needUpdate(i).valid) { 969 // update by writing back 970 needUpdate(i).uopNum := robBanksRdata(i).uopNum - wbCnt 971 when(canStdWbSeq.asUInt.orR) { 972 needUpdate(i).stdWritebacked := true.B 973 } 974 } 975 976 val fflagsCanWbSeq = fflags_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === needUpdateRobIdx(i) && writeback.bits.wflags.getOrElse(false.B)) 977 val fflagsRes = fflagsCanWbSeq.zip(fflags_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.fflags.get, 0.U) }.fold(false.B)(_ | _) 978 needUpdate(i).fflags := Mux(!robBanksRdata(i).valid && instCanEnqFlag, 0.U, robBanksRdata(i).fflags | fflagsRes) 979 980 val vxsatCanWbSeq = vxsat_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === needUpdateRobIdx(i)) 981 val vxsatRes = vxsatCanWbSeq.zip(vxsat_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.vxsat.get, 0.U) }.fold(false.B)(_ | _) 982 needUpdate(i).vxsat := Mux(!robBanksRdata(i).valid && instCanEnqFlag, 0.U, robBanksRdata(i).vxsat | vxsatRes) 983 } 984 robBanksRdataThisLineUpdate := VecInit(needUpdate.take(8)) 985 robBanksRdataNextLineUpdate := VecInit(needUpdate.drop(8)) 986 // end update robBanksRdata 987 988 // interrupt_safe 989 for (i <- 0 until RenameWidth) { 990 // We RegNext the updates for better timing. 991 // Note that instructions won't change the system's states in this cycle. 992 when(RegNext(canEnqueue(i))) { 993 // For now, we allow non-load-store instructions to trigger interrupts 994 // For MMIO instructions, they should not trigger interrupts since they may 995 // be sent to lower level before it writes back. 996 // However, we cannot determine whether a load/store instruction is MMIO. 997 // Thus, we don't allow load/store instructions to trigger an interrupt. 998 // TODO: support non-MMIO load-store instructions to trigger interrupts 999 val allow_interrupts = !CommitType.isLoadStore(io.enq.req(i).bits.commitType) 1000 robEntries(RegEnable(allocatePtrVec(i).value, canEnqueue(i))).interrupt_safe := RegEnable(allow_interrupts, canEnqueue(i)) 1001 } 1002 } 1003 1004 /** 1005 * read and write of data modules 1006 */ 1007 val commitReadAddr_next = Mux(state_next === s_idle, 1008 VecInit(deqPtrVec_next.map(_.value)), 1009 VecInit(walkPtrVec_next.map(_.value)) 1010 ) 1011 1012 exceptionGen.io.redirect <> io.redirect 1013 exceptionGen.io.flush := io.flushOut.valid 1014 1015 val canEnqueueEG = VecInit(io.enq.req.map(req => req.valid && io.enq.canAccept)) 1016 for (i <- 0 until RenameWidth) { 1017 exceptionGen.io.enq(i).valid := canEnqueueEG(i) 1018 exceptionGen.io.enq(i).bits.robIdx := io.enq.req(i).bits.robIdx 1019 exceptionGen.io.enq(i).bits.ftqPtr := io.enq.req(i).bits.ftqPtr 1020 exceptionGen.io.enq(i).bits.ftqOffset := io.enq.req(i).bits.ftqOffset 1021 exceptionGen.io.enq(i).bits.exceptionVec := ExceptionNO.selectFrontend(io.enq.req(i).bits.exceptionVec) 1022 exceptionGen.io.enq(i).bits.flushPipe := io.enq.req(i).bits.flushPipe 1023 exceptionGen.io.enq(i).bits.isVset := io.enq.req(i).bits.isVset 1024 exceptionGen.io.enq(i).bits.replayInst := false.B 1025 XSError(canEnqueue(i) && io.enq.req(i).bits.replayInst, "enq should not set replayInst") 1026 exceptionGen.io.enq(i).bits.singleStep := io.enq.req(i).bits.singleStep 1027 exceptionGen.io.enq(i).bits.crossPageIPFFix := io.enq.req(i).bits.crossPageIPFFix 1028 exceptionGen.io.enq(i).bits.trigger.clear() 1029 exceptionGen.io.enq(i).bits.trigger.frontendHit := io.enq.req(i).bits.trigger.frontendHit 1030 exceptionGen.io.enq(i).bits.trigger.frontendCanFire := io.enq.req(i).bits.trigger.frontendCanFire 1031 exceptionGen.io.enq(i).bits.vstartEn := false.B //DontCare 1032 exceptionGen.io.enq(i).bits.vstart := 0.U //DontCare 1033 } 1034 1035 println(s"ExceptionGen:") 1036 println(s"num of exceptions: ${params.numException}") 1037 require(exceptionWBs.length == exceptionGen.io.wb.length, 1038 f"exceptionWBs.length: ${exceptionWBs.length}, " + 1039 f"exceptionGen.io.wb.length: ${exceptionGen.io.wb.length}") 1040 for (((wb, exc_wb), i) <- exceptionWBs.zip(exceptionGen.io.wb).zipWithIndex) { 1041 exc_wb.valid := wb.valid 1042 exc_wb.bits.robIdx := wb.bits.robIdx 1043 // only enq inst use ftqPtr to read gpa 1044 exc_wb.bits.ftqPtr := 0.U.asTypeOf(exc_wb.bits.ftqPtr) 1045 exc_wb.bits.ftqOffset := 0.U.asTypeOf(exc_wb.bits.ftqOffset) 1046 exc_wb.bits.exceptionVec := wb.bits.exceptionVec.get 1047 exc_wb.bits.flushPipe := wb.bits.flushPipe.getOrElse(false.B) 1048 exc_wb.bits.isVset := false.B 1049 exc_wb.bits.replayInst := wb.bits.replay.getOrElse(false.B) 1050 exc_wb.bits.singleStep := false.B 1051 exc_wb.bits.crossPageIPFFix := false.B 1052 // TODO: make trigger configurable 1053 val trigger = wb.bits.trigger.getOrElse(0.U).asTypeOf(exc_wb.bits.trigger) 1054 exc_wb.bits.trigger.clear() // Don't care frontend timing, chain, hit and canFire 1055 exc_wb.bits.trigger.backendHit := trigger.backendHit 1056 exc_wb.bits.trigger.backendCanFire := trigger.backendCanFire 1057 exc_wb.bits.vstartEn := false.B //wb.bits.vstartEn.getOrElse(false.B) // todo need add vstart in ExuOutput 1058 exc_wb.bits.vstart := 0.U //wb.bits.vstart.getOrElse(0.U) 1059 // println(s" [$i] ${configs.map(_.name)}: exception ${exceptionCases(i)}, " + 1060 // s"flushPipe ${configs.exists(_.flushPipe)}, " + 1061 // s"replayInst ${configs.exists(_.replayInst)}") 1062 } 1063 1064 fflagsDataRead := (0 until CommitWidth).map(i => robEntries(deqPtrVec(i).value).fflags) 1065 vxsatDataRead := (0 until CommitWidth).map(i => robEntries(deqPtrVec(i).value).vxsat) 1066 1067 val instrCntReg = RegInit(0.U(64.W)) 1068 val fuseCommitCnt = PopCount(io.commits.commitValid.zip(io.commits.info).map { case (v, i) => RegNext(v && CommitType.isFused(i.commitType)) }) 1069 val trueCommitCnt = RegNext(io.commits.commitValid.zip(io.commits.info).map { case (v, i) => Mux(v, i.instrSize, 0.U) }.reduce(_ +& _)) +& fuseCommitCnt 1070 val retireCounter = Mux(RegNext(io.commits.isCommit), trueCommitCnt, 0.U) 1071 val instrCnt = instrCntReg + retireCounter 1072 instrCntReg := instrCnt 1073 io.csr.perfinfo.retiredInstr := retireCounter 1074 io.robFull := !allowEnqueue 1075 io.headNotReady := commit_vDeqGroup.head && !commit_wDeqGroup.head 1076 1077 /** 1078 * debug info 1079 */ 1080 XSDebug(p"enqPtr ${enqPtr} deqPtr ${deqPtr}\n") 1081 XSDebug("") 1082 XSError(isBefore(enqPtr, deqPtr) && !isFull(enqPtr, deqPtr), "\ndeqPtr is older than enqPtr!\n") 1083 for (i <- 0 until RobSize) { 1084 XSDebug(false, !robEntries(i).valid, "-") 1085 XSDebug(false, robEntries(i).valid && robEntries(i).isWritebacked, "w") 1086 XSDebug(false, robEntries(i).valid && !robEntries(i).isWritebacked, "v") 1087 } 1088 XSDebug(false, true.B, "\n") 1089 1090 for (i <- 0 until RobSize) { 1091 if (i % 4 == 0) XSDebug("") 1092 XSDebug(false, true.B, "%x ", debug_microOp(i).pc) 1093 XSDebug(false, !robEntries(i).valid, "- ") 1094 XSDebug(false, robEntries(i).valid && robEntries(i).isWritebacked, "w ") 1095 XSDebug(false, robEntries(i).valid && !robEntries(i).isWritebacked, "v ") 1096 if (i % 4 == 3) XSDebug(false, true.B, "\n") 1097 } 1098 1099 def ifCommit(counter: UInt): UInt = Mux(io.commits.isCommit, counter, 0.U) 1100 1101 def ifCommitReg(counter: UInt): UInt = Mux(RegNext(io.commits.isCommit), counter, 0.U) 1102 1103 val commitDebugUop = deqPtrVec.map(_.value).map(debug_microOp(_)) 1104 XSPerfAccumulate("clock_cycle", 1.U) 1105 QueuePerf(RobSize, numValidEntries, numValidEntries === RobSize.U) 1106 XSPerfAccumulate("commitUop", ifCommit(commitCnt)) 1107 XSPerfAccumulate("commitInstr", ifCommitReg(trueCommitCnt)) 1108 XSPerfRolling("ipc", ifCommitReg(trueCommitCnt), 1000, clock, reset) 1109 XSPerfRolling("cpi", perfCnt = 1.U /*Cycle*/ , eventTrigger = ifCommitReg(trueCommitCnt), granularity = 1000, clock, reset) 1110 val commitIsMove = commitInfo.map(_.isMove) 1111 XSPerfAccumulate("commitInstrMove", ifCommit(PopCount(io.commits.commitValid.zip(commitIsMove).map { case (v, m) => v && m }))) 1112 val commitMoveElim = commitDebugUop.map(_.debugInfo.eliminatedMove) 1113 XSPerfAccumulate("commitInstrMoveElim", ifCommit(PopCount(io.commits.commitValid zip commitMoveElim map { case (v, e) => v && e }))) 1114 XSPerfAccumulate("commitInstrFused", ifCommitReg(fuseCommitCnt)) 1115 val commitIsLoad = io.commits.info.map(_.commitType).map(_ === CommitType.LOAD) 1116 val commitLoadValid = io.commits.commitValid.zip(commitIsLoad).map { case (v, t) => v && t } 1117 XSPerfAccumulate("commitInstrLoad", ifCommit(PopCount(commitLoadValid))) 1118 val commitIsBranch = io.commits.info.map(_.commitType).map(_ === CommitType.BRANCH) 1119 val commitBranchValid = io.commits.commitValid.zip(commitIsBranch).map { case (v, t) => v && t } 1120 XSPerfAccumulate("commitInstrBranch", ifCommit(PopCount(commitBranchValid))) 1121 val commitLoadWaitBit = commitInfo.map(_.loadWaitBit) 1122 XSPerfAccumulate("commitInstrLoadWait", ifCommit(PopCount(commitLoadValid.zip(commitLoadWaitBit).map { case (v, w) => v && w }))) 1123 val commitIsStore = io.commits.info.map(_.commitType).map(_ === CommitType.STORE) 1124 XSPerfAccumulate("commitInstrStore", ifCommit(PopCount(io.commits.commitValid.zip(commitIsStore).map { case (v, t) => v && t }))) 1125 XSPerfAccumulate("writeback", PopCount((0 until RobSize).map(i => robEntries(i).valid && robEntries(i).isWritebacked))) 1126 // XSPerfAccumulate("enqInstr", PopCount(io.dp1Req.map(_.fire))) 1127 // XSPerfAccumulate("d2rVnR", PopCount(io.dp1Req.map(p => p.valid && !p.ready))) 1128 XSPerfAccumulate("walkInstr", Mux(io.commits.isWalk, PopCount(io.commits.walkValid), 0.U)) 1129 XSPerfAccumulate("walkCycleTotal", state === s_walk) 1130 XSPerfAccumulate("waitRabWalkEnd", state === s_walk && walkFinished && !rab.io.status.walkEnd) 1131 private val walkCycle = RegInit(0.U(8.W)) 1132 private val waitRabWalkCycle = RegInit(0.U(8.W)) 1133 walkCycle := Mux(io.redirect.valid, 0.U, Mux(state === s_walk, walkCycle + 1.U, 0.U)) 1134 waitRabWalkCycle := Mux(state === s_walk && walkFinished, 0.U, Mux(state === s_walk, walkCycle + 1.U, 0.U)) 1135 1136 XSPerfHistogram("walkRobCycleHist", walkCycle, state === s_walk && walkFinished, 0, 32) 1137 XSPerfHistogram("walkRabExtraCycleHist", waitRabWalkCycle, state === s_walk && walkFinished && rab.io.status.walkEnd, 0, 32) 1138 XSPerfHistogram("walkTotalCycleHist", walkCycle, state === s_walk && state_next === s_idle, 0, 32) 1139 1140 private val deqNotWritebacked = robEntries(deqPtr.value).valid && !robEntries(deqPtr.value).isWritebacked 1141 private val deqStdNotWritebacked = robEntries(deqPtr.value).valid && !robEntries(deqPtr.value).stdWritebacked 1142 private val deqUopNotWritebacked = robEntries(deqPtr.value).valid && !robEntries(deqPtr.value).isUopWritebacked 1143 private val deqHeadInfo = debug_microOp(deqPtr.value) 1144 val deqUopCommitType = debug_microOp(deqPtr.value).commitType 1145 1146 XSPerfAccumulate("waitAluCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.alu.U) 1147 XSPerfAccumulate("waitMulCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.mul.U) 1148 XSPerfAccumulate("waitDivCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.div.U) 1149 XSPerfAccumulate("waitBrhCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.brh.U) 1150 XSPerfAccumulate("waitJmpCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.jmp.U) 1151 XSPerfAccumulate("waitCsrCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.csr.U) 1152 XSPerfAccumulate("waitFenCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.fence.U) 1153 XSPerfAccumulate("waitBkuCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.bku.U) 1154 XSPerfAccumulate("waitLduCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.ldu.U) 1155 XSPerfAccumulate("waitStuCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.stu.U) 1156 XSPerfAccumulate("waitStaCycle", deqUopNotWritebacked && deqHeadInfo.fuType === FuType.stu.U) 1157 XSPerfAccumulate("waitStdCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.stu.U) 1158 XSPerfAccumulate("waitAtmCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.mou.U) 1159 1160 XSPerfAccumulate("waitVfaluCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.vfalu.U) 1161 XSPerfAccumulate("waitVfmaCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.vfma.U) 1162 XSPerfAccumulate("waitVfdivCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.vfdiv.U) 1163 1164 val vfalufuop = Seq(VfaluType.vfadd, VfaluType.vfwadd, VfaluType.vfwadd_w, VfaluType.vfsub, VfaluType.vfwsub, VfaluType.vfwsub_w, VfaluType.vfmin, VfaluType.vfmax, 1165 VfaluType.vfmerge, VfaluType.vfmv, VfaluType.vfsgnj, VfaluType.vfsgnjn, VfaluType.vfsgnjx, VfaluType.vfeq, VfaluType.vfne, VfaluType.vflt, VfaluType.vfle, VfaluType.vfgt, 1166 VfaluType.vfge, VfaluType.vfclass, VfaluType.vfmv_f_s, VfaluType.vfmv_s_f, VfaluType.vfredusum, VfaluType.vfredmax, VfaluType.vfredmin, VfaluType.vfredosum, VfaluType.vfwredosum) 1167 1168 vfalufuop.zipWithIndex.map{ 1169 case(fuoptype,i) => XSPerfAccumulate(s"waitVfalu_${i}Cycle", deqStdNotWritebacked && deqHeadInfo.fuOpType === fuoptype && deqHeadInfo.fuType === FuType.vfalu.U) 1170 } 1171 1172 1173 1174 XSPerfAccumulate("waitNormalCycle", deqNotWritebacked && deqUopCommitType === CommitType.NORMAL) 1175 XSPerfAccumulate("waitBranchCycle", deqNotWritebacked && deqUopCommitType === CommitType.BRANCH) 1176 XSPerfAccumulate("waitLoadCycle", deqNotWritebacked && deqUopCommitType === CommitType.LOAD) 1177 XSPerfAccumulate("waitStoreCycle", deqNotWritebacked && deqUopCommitType === CommitType.STORE) 1178 XSPerfAccumulate("robHeadPC", io.commits.info(0).debug_pc.getOrElse(0.U)) 1179 XSPerfAccumulate("commitCompressCntAll", PopCount(io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => io.commits.isCommit && valid && info.instrSize > 1.U })) 1180 (2 to RenameWidth).foreach(i => 1181 XSPerfAccumulate(s"commitCompressCnt${i}", PopCount(io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => io.commits.isCommit && valid && info.instrSize === i.U })) 1182 ) 1183 XSPerfAccumulate("compressSize", io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => Mux(io.commits.isCommit && valid && info.instrSize > 1.U, info.instrSize, 0.U) }.reduce(_ +& _)) 1184 val dispatchLatency = commitDebugUop.map(uop => uop.debugInfo.dispatchTime - uop.debugInfo.renameTime) 1185 val enqRsLatency = commitDebugUop.map(uop => uop.debugInfo.enqRsTime - uop.debugInfo.dispatchTime) 1186 val selectLatency = commitDebugUop.map(uop => uop.debugInfo.selectTime - uop.debugInfo.enqRsTime) 1187 val issueLatency = commitDebugUop.map(uop => uop.debugInfo.issueTime - uop.debugInfo.selectTime) 1188 val executeLatency = commitDebugUop.map(uop => uop.debugInfo.writebackTime - uop.debugInfo.issueTime) 1189 val rsFuLatency = commitDebugUop.map(uop => uop.debugInfo.writebackTime - uop.debugInfo.enqRsTime) 1190 val commitLatency = commitDebugUop.map(uop => timer - uop.debugInfo.writebackTime) 1191 1192 def latencySum(cond: Seq[Bool], latency: Seq[UInt]): UInt = { 1193 cond.zip(latency).map(x => Mux(x._1, x._2, 0.U)).reduce(_ +& _) 1194 } 1195 1196 for (fuType <- FuType.functionNameMap.keys) { 1197 val fuName = FuType.functionNameMap(fuType) 1198 val commitIsFuType = io.commits.commitValid.zip(commitDebugUop).map(x => x._1 && x._2.fuType === fuType.U) 1199 XSPerfRolling(s"ipc_futype_${fuName}", ifCommit(PopCount(commitIsFuType)), 1000, clock, reset) 1200 XSPerfAccumulate(s"${fuName}_instr_cnt", ifCommit(PopCount(commitIsFuType))) 1201 XSPerfAccumulate(s"${fuName}_latency_dispatch", ifCommit(latencySum(commitIsFuType, dispatchLatency))) 1202 XSPerfAccumulate(s"${fuName}_latency_enq_rs", ifCommit(latencySum(commitIsFuType, enqRsLatency))) 1203 XSPerfAccumulate(s"${fuName}_latency_select", ifCommit(latencySum(commitIsFuType, selectLatency))) 1204 XSPerfAccumulate(s"${fuName}_latency_issue", ifCommit(latencySum(commitIsFuType, issueLatency))) 1205 XSPerfAccumulate(s"${fuName}_latency_execute", ifCommit(latencySum(commitIsFuType, executeLatency))) 1206 XSPerfAccumulate(s"${fuName}_latency_enq_rs_execute", ifCommit(latencySum(commitIsFuType, rsFuLatency))) 1207 XSPerfAccumulate(s"${fuName}_latency_commit", ifCommit(latencySum(commitIsFuType, commitLatency))) 1208 } 1209 XSPerfAccumulate(s"redirect_use_snapshot", io.redirect.valid && io.snpt.useSnpt) 1210 1211 // top-down info 1212 io.debugTopDown.toCore.robHeadVaddr.valid := debug_lsTopdownInfo(deqPtr.value).s1.vaddr_valid 1213 io.debugTopDown.toCore.robHeadVaddr.bits := debug_lsTopdownInfo(deqPtr.value).s1.vaddr_bits 1214 io.debugTopDown.toCore.robHeadPaddr.valid := debug_lsTopdownInfo(deqPtr.value).s2.paddr_valid 1215 io.debugTopDown.toCore.robHeadPaddr.bits := debug_lsTopdownInfo(deqPtr.value).s2.paddr_bits 1216 io.debugTopDown.toDispatch.robTrueCommit := ifCommitReg(trueCommitCnt) 1217 io.debugTopDown.toDispatch.robHeadLsIssue := debug_lsIssue(deqPtr.value) 1218 io.debugTopDown.robHeadLqIdx.valid := debug_lqIdxValid(deqPtr.value) 1219 io.debugTopDown.robHeadLqIdx.bits := debug_microOp(deqPtr.value).lqIdx 1220 1221 // rolling 1222 io.debugRolling.robTrueCommit := ifCommitReg(trueCommitCnt) 1223 1224 /** 1225 * DataBase info: 1226 * log trigger is at writeback valid 1227 * */ 1228 1229 /** 1230 * @todo add InstInfoEntry back 1231 * @author Maxpicca-Li 1232 */ 1233 1234 //difftest signals 1235 val firstValidCommit = (deqPtr + PriorityMux(io.commits.commitValid, VecInit(List.tabulate(CommitWidth)(_.U(log2Up(CommitWidth).W))))).value 1236 1237 val wdata = Wire(Vec(CommitWidth, UInt(XLEN.W))) 1238 val wpc = Wire(Vec(CommitWidth, UInt(XLEN.W))) 1239 1240 for (i <- 0 until CommitWidth) { 1241 val idx = deqPtrVec(i).value 1242 wdata(i) := debug_exuData(idx) 1243 wpc(i) := SignExt(commitDebugUop(i).pc, XLEN) 1244 } 1245 1246 if (env.EnableDifftest || env.AlwaysBasicDiff) { 1247 // These are the structures used by difftest only and should be optimized after synthesis. 1248 val dt_eliminatedMove = Mem(RobSize, Bool()) 1249 val dt_isRVC = Mem(RobSize, Bool()) 1250 val dt_exuDebug = Reg(Vec(RobSize, new DebugBundle)) 1251 for (i <- 0 until RenameWidth) { 1252 when(canEnqueue(i)) { 1253 dt_eliminatedMove(allocatePtrVec(i).value) := io.enq.req(i).bits.eliminatedMove 1254 dt_isRVC(allocatePtrVec(i).value) := io.enq.req(i).bits.preDecodeInfo.isRVC 1255 } 1256 } 1257 for (wb <- exuWBs) { 1258 when(wb.valid) { 1259 val wbIdx = wb.bits.robIdx.value 1260 dt_exuDebug(wbIdx) := wb.bits.debug 1261 } 1262 } 1263 // Always instantiate basic difftest modules. 1264 for (i <- 0 until CommitWidth) { 1265 val uop = commitDebugUop(i) 1266 val commitInfo = io.commits.info(i) 1267 val ptr = deqPtrVec(i).value 1268 val exuOut = dt_exuDebug(ptr) 1269 val eliminatedMove = dt_eliminatedMove(ptr) 1270 val isRVC = dt_isRVC(ptr) 1271 1272 val difftest = DifftestModule(new DiffInstrCommit(MaxPhyPregs), delay = 3, dontCare = true) 1273 val dt_skip = Mux(eliminatedMove, false.B, exuOut.isMMIO || exuOut.isPerfCnt) 1274 difftest.coreid := io.hartId 1275 difftest.index := i.U 1276 difftest.valid := io.commits.commitValid(i) && io.commits.isCommit 1277 difftest.skip := dt_skip 1278 difftest.isRVC := isRVC 1279 difftest.rfwen := io.commits.commitValid(i) && commitInfo.rfWen && commitInfo.debug_ldest.get =/= 0.U 1280 difftest.fpwen := io.commits.commitValid(i) && uop.fpWen 1281 difftest.wpdest := commitInfo.debug_pdest.get 1282 difftest.wdest := commitInfo.debug_ldest.get 1283 difftest.nFused := CommitType.isFused(commitInfo.commitType).asUInt + commitInfo.instrSize - 1.U 1284 when(difftest.valid) { 1285 assert(CommitType.isFused(commitInfo.commitType).asUInt + commitInfo.instrSize >= 1.U) 1286 } 1287 if (env.EnableDifftest) { 1288 val uop = commitDebugUop(i) 1289 difftest.pc := SignExt(uop.pc, XLEN) 1290 difftest.instr := uop.instr 1291 difftest.robIdx := ZeroExt(ptr, 10) 1292 difftest.lqIdx := ZeroExt(uop.lqIdx.value, 7) 1293 difftest.sqIdx := ZeroExt(uop.sqIdx.value, 7) 1294 difftest.isLoad := io.commits.info(i).commitType === CommitType.LOAD 1295 difftest.isStore := io.commits.info(i).commitType === CommitType.STORE 1296 // Check LoadEvent only when isAmo or isLoad and skip MMIO 1297 val difftestLoadEvent = DifftestModule(new DiffLoadEvent, delay = 3) 1298 difftestLoadEvent.coreid := io.hartId 1299 difftestLoadEvent.index := i.U 1300 val loadCheck = (FuType.isAMO(uop.fuType) || FuType.isLoad(uop.fuType)) && !dt_skip 1301 difftestLoadEvent.valid := io.commits.commitValid(i) && io.commits.isCommit && loadCheck 1302 difftestLoadEvent.paddr := exuOut.paddr 1303 difftestLoadEvent.opType := uop.fuOpType 1304 difftestLoadEvent.isAtomic := FuType.isAMO(uop.fuType) 1305 difftestLoadEvent.isLoad := FuType.isLoad(uop.fuType) 1306 } 1307 } 1308 } 1309 1310 if (env.EnableDifftest || env.AlwaysBasicDiff) { 1311 val dt_isXSTrap = Mem(RobSize, Bool()) 1312 for (i <- 0 until RenameWidth) { 1313 when(canEnqueue(i)) { 1314 dt_isXSTrap(allocatePtrVec(i).value) := io.enq.req(i).bits.isXSTrap 1315 } 1316 } 1317 val trapVec = io.commits.commitValid.zip(deqPtrVec).map { case (v, d) => 1318 io.commits.isCommit && v && dt_isXSTrap(d.value) 1319 } 1320 val hitTrap = trapVec.reduce(_ || _) 1321 val difftest = DifftestModule(new DiffTrapEvent, dontCare = true) 1322 difftest.coreid := io.hartId 1323 difftest.hasTrap := hitTrap 1324 difftest.cycleCnt := timer 1325 difftest.instrCnt := instrCnt 1326 difftest.hasWFI := hasWFI 1327 1328 if (env.EnableDifftest) { 1329 val trapCode = PriorityMux(wdata.zip(trapVec).map(x => x._2 -> x._1)) 1330 val trapPC = SignExt(PriorityMux(wpc.zip(trapVec).map(x => x._2 -> x._1)), XLEN) 1331 difftest.code := trapCode 1332 difftest.pc := trapPC 1333 } 1334 } 1335 1336 val validEntriesBanks = (0 until (RobSize + 31) / 32).map(i => RegNext(PopCount(robEntries.map(_.valid).drop(i * 32).take(32)))) 1337 val validEntries = RegNext(VecInit(validEntriesBanks).reduceTree(_ +& _)) 1338 val commitMoveVec = VecInit(io.commits.commitValid.zip(commitIsMove).map { case (v, m) => v && m }) 1339 val commitLoadVec = VecInit(commitLoadValid) 1340 val commitBranchVec = VecInit(commitBranchValid) 1341 val commitLoadWaitVec = VecInit(commitLoadValid.zip(commitLoadWaitBit).map { case (v, w) => v && w }) 1342 val commitStoreVec = VecInit(io.commits.commitValid.zip(commitIsStore).map { case (v, t) => v && t }) 1343 val perfEvents = Seq( 1344 ("rob_interrupt_num ", io.flushOut.valid && intrEnable), 1345 ("rob_exception_num ", io.flushOut.valid && deqHasException), 1346 ("rob_flush_pipe_num ", io.flushOut.valid && isFlushPipe), 1347 ("rob_replay_inst_num ", io.flushOut.valid && isFlushPipe && deqHasReplayInst), 1348 ("rob_commitUop ", ifCommit(commitCnt)), 1349 ("rob_commitInstr ", ifCommitReg(trueCommitCnt)), 1350 ("rob_commitInstrMove ", ifCommitReg(PopCount(RegNext(commitMoveVec)))), 1351 ("rob_commitInstrFused ", ifCommitReg(fuseCommitCnt)), 1352 ("rob_commitInstrLoad ", ifCommitReg(PopCount(RegNext(commitLoadVec)))), 1353 ("rob_commitInstrBranch ", ifCommitReg(PopCount(RegNext(commitBranchVec)))), 1354 ("rob_commitInstrLoadWait", ifCommitReg(PopCount(RegNext(commitLoadWaitVec)))), 1355 ("rob_commitInstrStore ", ifCommitReg(PopCount(RegNext(commitStoreVec)))), 1356 ("rob_walkInstr ", Mux(io.commits.isWalk, PopCount(io.commits.walkValid), 0.U)), 1357 ("rob_walkCycle ", (state === s_walk)), 1358 ("rob_1_4_valid ", validEntries <= (RobSize / 4).U), 1359 ("rob_2_4_valid ", validEntries > (RobSize / 4).U && validEntries <= (RobSize / 2).U), 1360 ("rob_3_4_valid ", validEntries > (RobSize / 2).U && validEntries <= (RobSize * 3 / 4).U), 1361 ("rob_4_4_valid ", validEntries > (RobSize * 3 / 4).U), 1362 ) 1363 generatePerfEvent() 1364 1365 // dontTouch for debug 1366 if (backendParams.debugEn) { 1367 dontTouch(enqPtrVec) 1368 dontTouch(deqPtrVec) 1369 dontTouch(robEntries) 1370 dontTouch(robDeqGroup) 1371 dontTouch(robBanks) 1372 dontTouch(robBanksRaddrThisLine) 1373 dontTouch(robBanksRaddrNextLine) 1374 dontTouch(robBanksRdataThisLine) 1375 dontTouch(robBanksRdataNextLine) 1376 dontTouch(robBanksRdataThisLineUpdate) 1377 dontTouch(robBanksRdataNextLineUpdate) 1378 dontTouch(needUpdate) 1379 val exceptionWBsVec = MixedVecInit(exceptionWBs) 1380 dontTouch(exceptionWBsVec) 1381 dontTouch(commit_wDeqGroup) 1382 dontTouch(commit_vDeqGroup) 1383 dontTouch(commitSizeSumSeq) 1384 dontTouch(walkSizeSumSeq) 1385 dontTouch(commitSizeSumCond) 1386 dontTouch(walkSizeSumCond) 1387 dontTouch(commitSizeSum) 1388 dontTouch(walkSizeSum) 1389 dontTouch(realDestSizeSeq) 1390 dontTouch(walkDestSizeSeq) 1391 dontTouch(io.commits) 1392 dontTouch(commitIsVTypeVec) 1393 dontTouch(walkIsVTypeVec) 1394 dontTouch(commitValidThisLine) 1395 dontTouch(commitReadAddr_next) 1396 dontTouch(donotNeedWalk) 1397 dontTouch(walkPtrVec_next) 1398 dontTouch(walkPtrVec) 1399 dontTouch(deqPtrVec_next) 1400 dontTouch(deqPtrVecForWalk) 1401 dontTouch(snapPtrReadBank) 1402 dontTouch(snapPtrVecForWalk) 1403 dontTouch(shouldWalkVec) 1404 dontTouch(walkFinished) 1405 dontTouch(changeBankAddrToDeqPtr) 1406 } 1407 if (env.EnableDifftest) { 1408 io.commits.info.map(info => dontTouch(info.debug_pc.get)) 1409 } 1410} 1411