1package xiangshan.backend.issue 2 3import org.chipsalliance.cde.config.Parameters 4import chisel3._ 5import chisel3.util._ 6import utils.{MathUtils, OptionWrapper, XSError} 7import utility.HasCircularQueuePtrHelper 8import xiangshan._ 9import xiangshan.backend.Bundles._ 10import xiangshan.backend.datapath.DataSource 11import xiangshan.backend.fu.FuType 12import xiangshan.backend.fu.vector.Bundles.NumLsElem 13import xiangshan.backend.rob.RobPtr 14import xiangshan.mem.{LqPtr, MemWaitUpdateReq, SqPtr} 15 16object EntryBundles extends HasCircularQueuePtrHelper { 17 18 class Status(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 19 //basic status 20 val robIdx = new RobPtr 21 val fuType = IQFuType() 22 //src status 23 val srcStatus = Vec(params.numRegSrc, new SrcStatus) 24 //issue status 25 val blocked = Bool() 26 val issued = Bool() 27 val firstIssue = Bool() 28 val issueTimer = UInt(2.W) 29 val deqPortIdx = UInt(1.W) 30 //vector mem status 31 val vecMem = OptionWrapper(params.isVecMemIQ, new StatusVecMemPart) 32 33 def srcReady: Bool = { 34 VecInit(srcStatus.map(_.srcState).map(SrcState.isReady)).asUInt.andR 35 } 36 37 def canIssue: Bool = { 38 srcReady && !issued && !blocked 39 } 40 41 def mergedLoadDependency: Vec[UInt] = { 42 srcStatus.map(_.srcLoadDependency).reduce({ 43 case (l: Vec[UInt], r: Vec[UInt]) => VecInit(l.zip(r).map(x => x._1 | x._2)) 44 }: (Vec[UInt], Vec[UInt]) => Vec[UInt]) 45 } 46 } 47 48 class SrcStatus(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 49 val psrc = UInt(params.rdPregIdxWidth.W) 50 val srcType = SrcType() 51 val srcState = SrcState() 52 val dataSources = DataSource() 53 val srcLoadDependency = Vec(LoadPipelineWidth, UInt(3.W)) 54 val srcTimer = OptionWrapper(params.hasIQWakeUp, UInt(3.W)) 55 val srcWakeUpL1ExuOH = OptionWrapper(params.hasIQWakeUp, ExuVec()) 56 } 57 58 class StatusVecMemPart(implicit p:Parameters, params: IssueBlockParams) extends Bundle { 59 val sqIdx = new SqPtr 60 val lqIdx = new LqPtr 61 val numLsElem = NumLsElem() 62 } 63 64 class EntryDeqRespBundle(implicit p: Parameters, params: IssueBlockParams) extends Bundle { 65 val robIdx = new RobPtr 66 val resp = RespType() 67 val fuType = FuType() 68 val uopIdx = OptionWrapper(params.isVecMemIQ, Output(UopIdx())) 69 } 70 71 object RespType { 72 def apply() = UInt(2.W) 73 74 def isBlocked(resp: UInt) = { 75 resp === block 76 } 77 78 def succeed(resp: UInt) = { 79 resp === success 80 } 81 82 val block = "b00".U 83 val uncertain = "b01".U 84 val success = "b11".U 85 } 86 87 class EntryBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 88 val status = new Status() 89 val imm = OptionWrapper(params.needImm, UInt((params.deqImmTypesMaxLen).W)) 90 val payload = new DynInst() 91 } 92 93 class CommonInBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 94 val flush = Flipped(ValidIO(new Redirect)) 95 val enq = Flipped(ValidIO(new EntryBundle)) 96 //wakeup 97 val wakeUpFromWB: MixedVec[ValidIO[IssueQueueWBWakeUpBundle]] = Flipped(params.genWBWakeUpSinkValidBundle) 98 val wakeUpFromIQ: MixedVec[ValidIO[IssueQueueIQWakeUpBundle]] = Flipped(params.genIQWakeUpSinkValidBundle) 99 // vl 100 val vlIsZero = Input(Bool()) 101 val vlIsVlmax = Input(Bool()) 102 //cancel 103 val og0Cancel = Input(ExuOH(backendParams.numExu)) 104 val og1Cancel = Input(ExuOH(backendParams.numExu)) 105 val ldCancel = Vec(backendParams.LdExuCnt, Flipped(new LoadCancelIO)) 106 //deq sel 107 val deqSel = Input(Bool()) 108 val deqPortIdxWrite = Input(UInt(1.W)) 109 val issueResp = Flipped(ValidIO(new EntryDeqRespBundle)) 110 //trans sel 111 val transSel = Input(Bool()) 112 // vector mem only 113 val fromLsq = OptionWrapper(params.isVecMemIQ, new Bundle { 114 val sqDeqPtr = Input(new SqPtr) 115 val lqDeqPtr = Input(new LqPtr) 116 }) 117 } 118 119 class CommonOutBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 120 //status 121 val valid = Output(Bool()) 122 val canIssue = Output(Bool()) 123 val fuType = Output(FuType()) 124 val robIdx = Output(new RobPtr) 125 val uopIdx = OptionWrapper(params.isVecMemIQ, Output(UopIdx())) 126 //src 127 val dataSource = Vec(params.numRegSrc, Output(DataSource())) 128 val srcLoadDependency = Vec(params.numRegSrc, Output(Vec(LoadPipelineWidth, UInt(3.W)))) 129 val srcWakeUpL1ExuOH = OptionWrapper(params.hasIQWakeUp, Vec(params.numRegSrc, Output(ExuVec()))) 130 val srcTimer = OptionWrapper(params.hasIQWakeUp, Vec(params.numRegSrc, Output(UInt(3.W)))) 131 //deq 132 val isFirstIssue = Output(Bool()) 133 val entry = ValidIO(new EntryBundle) 134 val deqPortIdxRead = Output(UInt(1.W)) 135 val issueTimerRead = Output(UInt(2.W)) 136 //trans 137 val enqReady = Output(Bool()) 138 val transEntry = ValidIO(new EntryBundle) 139 // debug 140 val cancel = OptionWrapper(params.hasIQWakeUp, Output(Bool())) 141 val entryInValid = Output(Bool()) 142 val entryOutDeqValid = Output(Bool()) 143 val entryOutTransValid = Output(Bool()) 144 } 145 146 class CommonWireBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 147 val validRegNext = Bool() 148 val flushed = Bool() 149 val clear = Bool() 150 val canIssue = Bool() 151 val enqReady = Bool() 152 val deqSuccess = Bool() 153 val srcWakeup = Vec(params.numRegSrc, Bool()) 154 val srcWakeupByWB = Vec(params.numRegSrc, Bool()) 155 val vlWakeupByWb = Bool() 156 val srcLoadDependencyOut = Vec(params.numRegSrc, Vec(LoadPipelineWidth, UInt(3.W))) 157 val srcCancelVec = Vec(params.numRegSrc, Bool()) 158 val srcLoadCancelVec = Vec(params.numRegSrc, Bool()) 159 } 160 161 def CommonWireConnect(common: CommonWireBundle, hasIQWakeup: Option[CommonIQWakeupBundle], validReg: Bool, status: Status, commonIn: CommonInBundle, isEnq: Boolean)(implicit p: Parameters, params: IssueBlockParams) = { 162 val hasIQWakeupGet = hasIQWakeup.getOrElse(0.U.asTypeOf(new CommonIQWakeupBundle)) 163 common.flushed := status.robIdx.needFlush(commonIn.flush) 164 common.deqSuccess := commonIn.issueResp.valid && RespType.succeed(commonIn.issueResp.bits.resp) && !common.srcLoadCancelVec.asUInt.orR 165 common.srcWakeup := common.srcWakeupByWB.zip(hasIQWakeupGet.srcWakeupByIQ).map { case (x, y) => x || y.asUInt.orR } 166 common.srcWakeupByWB := commonIn.wakeUpFromWB.map(bundle => bundle.bits.wakeUp(status.srcStatus.map(_.psrc) zip status.srcStatus.map(_.srcType), bundle.valid)).transpose.map(x => VecInit(x.toSeq).asUInt.orR).toSeq 167 common.canIssue := validReg && status.canIssue 168 common.enqReady := !validReg || common.clear 169 common.clear := common.flushed || common.deqSuccess || commonIn.transSel 170 common.srcCancelVec.zip(common.srcLoadCancelVec).zip(hasIQWakeupGet.srcWakeupByIQWithoutCancel).zipWithIndex.foreach { case (((srcCancel, srcLoadCancel), wakeUpByIQVec), srcIdx) => 171 val ldTransCancel = if(params.hasIQWakeUp) Mux1H(wakeUpByIQVec, hasIQWakeupGet.wakeupLoadDependencyByIQVec.map(dep => LoadShouldCancel(Some(dep), commonIn.ldCancel))) else false.B 172 srcLoadCancel := LoadShouldCancel(Some(status.srcStatus(srcIdx).srcLoadDependency), commonIn.ldCancel) 173 srcCancel := srcLoadCancel || ldTransCancel 174 } 175 common.srcLoadDependencyOut.zip(hasIQWakeupGet.srcWakeupByIQ).zip(status.srcStatus.map(_.srcLoadDependency)).foreach { 176 case ((loadDependencyOut, wakeUpByIQVec), loadDependency) => 177 if(params.hasIQWakeUp) { 178 loadDependencyOut := Mux(wakeUpByIQVec.asUInt.orR, Mux1H(wakeUpByIQVec, hasIQWakeupGet.shiftedWakeupLoadDependencyByIQBypassVec), loadDependency) 179 } else { 180 loadDependencyOut := loadDependency 181 } 182 183 } 184 if(isEnq) { 185 common.validRegNext := Mux(commonIn.enq.valid && common.enqReady, true.B, Mux(common.clear, false.B, validReg)) 186 } else { 187 common.validRegNext := Mux(commonIn.enq.valid, true.B, Mux(common.clear, false.B, validReg)) 188 } 189 if (params.numRegSrc == 5) { 190 // only when numRegSrc == 5 need vl 191 common.vlWakeupByWb := common.srcWakeupByWB(4) 192 } else { 193 common.vlWakeupByWb := false.B 194 } 195 } 196 197 class CommonIQWakeupBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 198 val srcWakeupByIQ = Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool())) 199 val srcWakeupByIQWithoutCancel = Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool())) 200 val srcWakeupByIQButCancel = Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool())) 201 val regSrcWakeupL1ExuOH = Vec(params.numRegSrc, ExuVec()) 202 val srcWakeupL1ExuOHOut = Vec(params.numRegSrc, ExuVec()) 203 val wakeupLoadDependencyByIQVec = Vec(params.numWakeupFromIQ, Vec(LoadPipelineWidth, UInt(3.W))) 204 val shiftedWakeupLoadDependencyByIQVec = Vec(params.numWakeupFromIQ, Vec(LoadPipelineWidth, UInt(3.W))) 205 val shiftedWakeupLoadDependencyByIQBypassVec = Vec(params.numWakeupFromIQ, Vec(LoadPipelineWidth, UInt(3.W))) 206 val cancelVec = Vec(params.numRegSrc, Bool()) 207 val canIssueBypass = Bool() 208 } 209 210 def CommonIQWakeupConnect(common: CommonWireBundle, hasIQWakeupGet: CommonIQWakeupBundle, validReg: Bool, status: Status, commonIn: CommonInBundle, isEnq: Boolean)(implicit p: Parameters, params: IssueBlockParams) = { 211 val wakeupVec: Seq[Seq[Bool]] = commonIn.wakeUpFromIQ.map((bundle: ValidIO[IssueQueueIQWakeUpBundle]) => 212 bundle.bits.wakeUpFromIQ(status.srcStatus.map(_.psrc) zip status.srcStatus.map(_.srcType)) 213 ).toSeq.transpose 214 val cancelSel = params.wakeUpSourceExuIdx.zip(commonIn.wakeUpFromIQ).map { case (x, y) => commonIn.og0Cancel(x) && y.bits.is0Lat } 215 216 hasIQWakeupGet.cancelVec := common.srcCancelVec 217 hasIQWakeupGet.srcWakeupByIQ := wakeupVec.map(x => VecInit(x.zip(cancelSel).map { case (wakeup, cancel) => wakeup && !cancel })) 218 hasIQWakeupGet.srcWakeupByIQButCancel := wakeupVec.map(x => VecInit(x.zip(cancelSel).map { case (wakeup, cancel) => wakeup && cancel })) 219 hasIQWakeupGet.srcWakeupByIQWithoutCancel := wakeupVec.map(x => VecInit(x)) 220 hasIQWakeupGet.wakeupLoadDependencyByIQVec := commonIn.wakeUpFromIQ.map(_.bits.loadDependency).toSeq 221 hasIQWakeupGet.regSrcWakeupL1ExuOH.zip(status.srcStatus.map(_.srcWakeUpL1ExuOH.get)).foreach { 222 case (exuOH, regExuOH) => 223 exuOH := 0.U.asTypeOf(exuOH) 224 params.wakeUpSourceExuIdx.foreach(x => exuOH(x) := regExuOH(x)) 225 } 226 hasIQWakeupGet.srcWakeupL1ExuOHOut.zip(hasIQWakeupGet.srcWakeupByIQWithoutCancel).zip(common.srcWakeup).zipWithIndex.foreach { 227 case (((exuOH: Vec[Bool], wakeUpByIQOH: Vec[Bool]), wakeUp: Bool), srcIdx) => 228 if(isEnq) { 229 ExuOHGen(exuOH, wakeUpByIQOH, wakeUp, status.srcStatus(srcIdx).srcWakeUpL1ExuOH.get) 230 } else { 231 ExuOHGen(exuOH, wakeUpByIQOH, wakeUp, hasIQWakeupGet.regSrcWakeupL1ExuOH(srcIdx)) 232 } 233 } 234 hasIQWakeupGet.canIssueBypass := validReg && !status.issued && !status.blocked && 235 VecInit(status.srcStatus.map(_.srcState).zip(hasIQWakeupGet.srcWakeupByIQWithoutCancel).zipWithIndex.map { case ((state, wakeupVec), srcIdx) => 236 wakeupVec.asUInt.orR | state 237 }).asUInt.andR 238 } 239 240 241 def ShiftLoadDependency(hasIQWakeupGet: CommonIQWakeupBundle)(implicit p: Parameters, params: IssueBlockParams) = { 242 hasIQWakeupGet.shiftedWakeupLoadDependencyByIQVec 243 .zip(hasIQWakeupGet.wakeupLoadDependencyByIQVec) 244 .zip(params.wakeUpInExuSources.map(_.name)).foreach { 245 case ((deps, originalDeps), name) => deps.zip(originalDeps).zipWithIndex.foreach { 246 case ((dep, originalDep), deqPortIdx) => 247 if (params.backendParam.getLdExuIdx(params.backendParam.allExuParams.find(_.name == name).get) == deqPortIdx) 248 dep := (originalDep << 2).asUInt | 2.U 249 else 250 dep := originalDep << 1 251 } 252 } 253 hasIQWakeupGet.shiftedWakeupLoadDependencyByIQBypassVec 254 .zip(hasIQWakeupGet.wakeupLoadDependencyByIQVec) 255 .zip(params.wakeUpInExuSources.map(_.name)).foreach { 256 case ((deps, originalDeps), name) => deps.zip(originalDeps).zipWithIndex.foreach { 257 case ((dep, originalDep), deqPortIdx) => 258 if (params.backendParam.getLdExuIdx(params.backendParam.allExuParams.find(_.name == name).get) == deqPortIdx) 259 dep := (originalDep << 1).asUInt | 1.U 260 else 261 dep := originalDep 262 } 263 } 264 } 265 266 def EntryRegCommonConnect(common: CommonWireBundle, hasIQWakeup: Option[CommonIQWakeupBundle], validReg: Bool, entryUpdate: EntryBundle, entryReg: EntryBundle, status: Status, commonIn: CommonInBundle, isEnq: Boolean)(implicit p: Parameters, params: IssueBlockParams) = { 267 val hasIQWakeupGet = hasIQWakeup.getOrElse(0.U.asTypeOf(new CommonIQWakeupBundle)) 268 val cancelByLd = common.srcCancelVec.asUInt.orR 269 val cancelWhenWakeup = VecInit(hasIQWakeupGet.srcWakeupByIQButCancel.map(_.asUInt.orR)).asUInt.orR 270 val respIssueFail = commonIn.issueResp.valid && RespType.isBlocked(commonIn.issueResp.bits.resp) 271 val srcWakeupExuOH = if(isEnq) status.srcStatus.map(_.srcWakeUpL1ExuOH.getOrElse(0.U.asTypeOf(ExuVec()))) else hasIQWakeupGet.regSrcWakeupL1ExuOH 272 entryUpdate.status.robIdx := status.robIdx 273 entryUpdate.status.fuType := IQFuType.readFuType(status.fuType, params.getFuCfgs.map(_.fuType)) 274 entryUpdate.status.srcStatus.zip(status.srcStatus).zipWithIndex.foreach { case ((srcStatusNext, srcStatus), srcIdx) => 275 val cancel = common.srcCancelVec(srcIdx) 276 val wakeupByIQ = hasIQWakeupGet.srcWakeupByIQ(srcIdx).asUInt.orR 277 val wakeupByIQOH = hasIQWakeupGet.srcWakeupByIQ(srcIdx) 278 val wakeup = common.srcWakeup(srcIdx) 279 280 val ignoreOldVd = Wire(Bool()) 281 val vlWakeUpByWb = common.vlWakeupByWb 282 val isDependOldvd = entryReg.payload.vpu.isDependOldvd 283 val isWritePartVd = entryReg.payload.vpu.isWritePartVd 284 val vta = entryReg.payload.vpu.vta 285 val vma = entryReg.payload.vpu.vma 286 val vm = entryReg.payload.vpu.vm 287 val vlIsZero = commonIn.vlIsZero 288 val vlIsVlmax = commonIn.vlIsVlmax 289 val ignoreTail = vlIsVlmax && (vm =/= 0.U || vma) && !isWritePartVd 290 val ignoreWhole = !vlIsVlmax && (vm =/= 0.U || vma) && vta 291 val srcIsVec = SrcType.isVp(srcStatus.srcType) 292 if (params.numVfSrc > 0 && srcIdx == 2) { 293 /** 294 * the src store the old vd, update it when vl is write back 295 * 1. when the instruction depend on old vd, we cannot set the srctype to imm, we will update the method of uop split to avoid this situation soon 296 * 2. when vl = 0, we cannot set the srctype to imm because the vd keep the old value 297 * 3. when vl = vlmax, we can set srctype to imm when vta is not set 298 */ 299 ignoreOldVd := srcIsVec && vlWakeUpByWb && !isDependOldvd && !vlIsZero && (ignoreTail || ignoreWhole) 300 } else { 301 ignoreOldVd := false.B 302 } 303 304 srcStatusNext.psrc := srcStatus.psrc 305 srcStatusNext.srcType := Mux(ignoreOldVd, SrcType.no, srcStatus.srcType) 306 srcStatusNext.srcState := Mux(cancel, false.B, wakeup | srcStatus.srcState | ignoreOldVd) 307 srcStatusNext.dataSources.value := Mux(wakeupByIQ, DataSource.bypass, Mux(srcStatus.dataSources.readBypass, DataSource.reg, srcStatus.dataSources.value)) 308 if(params.hasIQWakeUp) { 309 srcStatusNext.srcTimer.get := MuxCase(3.U, Seq( 310 // T0: waked up by IQ, T1: reset timer as 1 311 wakeupByIQ -> 2.U, 312 // do not overflow 313 srcStatus.srcTimer.get.andR -> srcStatus.srcTimer.get, 314 // T2+: increase if the entry is valid, the src is ready, and the src is woken up by iq 315 (validReg && SrcState.isReady(srcStatus.srcState) && srcWakeupExuOH(srcIdx).asUInt.orR) -> (srcStatus.srcTimer.get + 1.U) 316 )) 317 ExuOHGen(srcStatusNext.srcWakeUpL1ExuOH.get, wakeupByIQOH, wakeup, srcWakeupExuOH(srcIdx)) 318 srcStatusNext.srcLoadDependency := 319 Mux(wakeup, 320 Mux1H(wakeupByIQOH, hasIQWakeupGet.shiftedWakeupLoadDependencyByIQVec), 321 Mux(validReg && srcStatus.srcLoadDependency.asUInt.orR, VecInit(srcStatus.srcLoadDependency.map(i => i(i.getWidth - 2, 0) << 1)), srcStatus.srcLoadDependency)) 322 } else { 323 srcStatusNext.srcLoadDependency := Mux(validReg && srcStatus.srcLoadDependency.asUInt.orR, VecInit(srcStatus.srcLoadDependency.map(i => i(i.getWidth - 2, 0) << 1)), srcStatus.srcLoadDependency) 324 } 325 } 326 entryUpdate.status.blocked := false.B 327 entryUpdate.status.issued := MuxCase(status.issued, Seq( 328 (cancelByLd || cancelWhenWakeup || respIssueFail) -> false.B, 329 commonIn.deqSel -> true.B, 330 !status.srcReady -> false.B, 331 )) 332 entryUpdate.status.firstIssue := commonIn.deqSel || status.firstIssue 333 entryUpdate.status.issueTimer := Mux(commonIn.deqSel, 0.U, Mux(status.issued, status.issueTimer + 1.U, "b10".U)) 334 entryUpdate.status.deqPortIdx := Mux(commonIn.deqSel, commonIn.deqPortIdxWrite, Mux(status.issued, status.deqPortIdx, 0.U)) 335 entryUpdate.imm.foreach(_ := entryReg.imm.get) 336 entryUpdate.payload := entryReg.payload 337 if (params.isVecMemIQ) { 338 entryUpdate.status.vecMem.get := entryReg.status.vecMem.get 339 } 340 } 341 342 def CommonOutConnect(commonOut: CommonOutBundle, common: CommonWireBundle, hasIQWakeup: Option[CommonIQWakeupBundle], validReg: Bool, entryUpdate: EntryBundle, entryReg: EntryBundle, status: Status, commonIn: CommonInBundle, isEnq: Boolean, isComp: Boolean)(implicit p: Parameters, params: IssueBlockParams) = { 343 val hasIQWakeupGet = hasIQWakeup.getOrElse(0.U.asTypeOf(new CommonIQWakeupBundle)) 344 val srcWakeupExuOH = if(isEnq) status.srcStatus.map(_.srcWakeUpL1ExuOH.getOrElse(0.U.asTypeOf(ExuVec()))) else hasIQWakeupGet.regSrcWakeupL1ExuOH 345 commonOut.valid := validReg 346 commonOut.canIssue := (if (isComp) (common.canIssue || hasIQWakeupGet.canIssueBypass) && !common.flushed 347 else common.canIssue && !common.flushed) 348 commonOut.fuType := IQFuType.readFuType(status.fuType, params.getFuCfgs.map(_.fuType)).asUInt 349 commonOut.robIdx := status.robIdx 350 commonOut.dataSource.zipWithIndex.foreach{ case (dataSourceOut, srcIdx) => 351 dataSourceOut.value := Mux(hasIQWakeupGet.srcWakeupByIQWithoutCancel(srcIdx).asUInt.orR, DataSource.forward, status.srcStatus(srcIdx).dataSources.value) 352 } 353 commonOut.isFirstIssue := !status.firstIssue 354 commonOut.entry.valid := validReg 355 commonOut.entry.bits := entryReg 356 if(isEnq) { 357 commonOut.entry.bits.status := status 358 } 359 commonOut.issueTimerRead := status.issueTimer 360 commonOut.deqPortIdxRead := status.deqPortIdx 361 if(params.hasIQWakeUp) { 362 val wakeupSrcLoadDependency = hasIQWakeupGet.srcWakeupByIQWithoutCancel.map(x => Mux1H(x, hasIQWakeupGet.wakeupLoadDependencyByIQVec)) 363 commonOut.srcWakeUpL1ExuOH.get := (if (isComp) Mux(hasIQWakeupGet.canIssueBypass && !common.canIssue, hasIQWakeupGet.srcWakeupL1ExuOHOut, VecInit(srcWakeupExuOH)) 364 else VecInit(srcWakeupExuOH)) 365 commonOut.srcTimer.get.zipWithIndex.foreach { case (srcTimerOut, srcIdx) => 366 val wakeupByIQOH = hasIQWakeupGet.srcWakeupByIQWithoutCancel(srcIdx) 367 srcTimerOut := Mux(wakeupByIQOH.asUInt.orR, Mux1H(wakeupByIQOH, commonIn.wakeUpFromIQ.map(_.bits.is0Lat).toSeq).asUInt, status.srcStatus(srcIdx).srcTimer.get) 368 } 369 commonOut.srcLoadDependency.zipWithIndex.foreach { case (srcLoadDependencyOut, srcIdx) => 370 srcLoadDependencyOut := (if (isComp) Mux(hasIQWakeupGet.canIssueBypass && !common.canIssue, 371 VecInit(status.srcStatus(srcIdx).srcLoadDependency.zip(wakeupSrcLoadDependency(srcIdx)).map(x => x._1 | x._2)), 372 status.srcStatus(srcIdx).srcLoadDependency) 373 else status.srcStatus(srcIdx).srcLoadDependency) 374 } 375 } else { 376 commonOut.srcLoadDependency.zipWithIndex.foreach { case (srcLoadDependencyOut, srcIdx) => 377 srcLoadDependencyOut := status.srcStatus(srcIdx).srcLoadDependency 378 } 379 } 380 commonOut.entry.bits.status.srcStatus.map(_.srcLoadDependency).zipWithIndex.foreach { case (srcLoadDependencyOut, srcIdx) => 381 srcLoadDependencyOut := (if (isComp) Mux(hasIQWakeupGet.canIssueBypass && !common.canIssue, 382 common.srcLoadDependencyOut(srcIdx), 383 status.srcStatus(srcIdx).srcLoadDependency) 384 else status.srcStatus(srcIdx).srcLoadDependency) 385 } 386 commonOut.enqReady := common.enqReady 387 commonOut.transEntry.valid := validReg && !common.flushed && !common.deqSuccess 388 commonOut.transEntry.bits := entryUpdate 389 // debug 390 commonOut.cancel.foreach(_ := hasIQWakeupGet.cancelVec.asUInt.orR) 391 commonOut.entryInValid := commonIn.enq.valid 392 commonOut.entryOutDeqValid := validReg && (common.flushed || common.deqSuccess) 393 commonOut.entryOutTransValid := validReg && commonIn.transSel && !(common.flushed || common.deqSuccess) 394 if (params.isVecMemIQ) { 395 commonOut.uopIdx.get := entryReg.payload.uopIdx 396 } 397 } 398 399 def EntryVecMemConnect(commonIn: CommonInBundle, common: CommonWireBundle, validReg: Bool, entryReg: EntryBundle, entryRegNext: EntryBundle, entryUpdate: EntryBundle)(implicit p: Parameters, params: IssueBlockParams) = { 400 val fromLsq = commonIn.fromLsq.get 401 val vecMemStatus = entryReg.status.vecMem.get 402 val vecMemStatusUpdate = entryUpdate.status.vecMem.get 403 vecMemStatusUpdate := vecMemStatus 404 405 // update blocked 406 entryUpdate.status.blocked := false.B 407 } 408 409 def ExuOHGen(exuOH: Vec[Bool], wakeupByIQOH: Vec[Bool], wakeup: Bool, regSrcExuOH: Vec[Bool])(implicit p: Parameters, params: IssueBlockParams) = { 410 val origExuOH = 0.U.asTypeOf(exuOH) 411 when(wakeupByIQOH.asUInt.orR) { 412 origExuOH := Mux1H(wakeupByIQOH, params.wakeUpSourceExuIdx.map(x => MathUtils.IntToOH(x).U(p(XSCoreParamsKey).backendParams.numExu.W)).toSeq).asBools 413 }.otherwise { 414 origExuOH := regSrcExuOH 415 } 416 exuOH := 0.U.asTypeOf(exuOH) 417 params.wakeUpSourceExuIdx.foreach(x => exuOH(x) := origExuOH(x)) 418 } 419 420 object IQFuType { 421 def num = FuType.num 422 423 def apply() = Vec(num, Bool()) 424 425 def readFuType(fuType: Vec[Bool], fus: Seq[FuType.OHType]): Vec[Bool] = { 426 val res = 0.U.asTypeOf(fuType) 427 fus.foreach(x => res(x.id) := fuType(x.id)) 428 res 429 } 430 } 431} 432