xref: /XiangShan/src/main/scala/xiangshan/backend/issue/EntryBundles.scala (revision 94aa21c6009c2f39c5c5dae9c87260c78887efcc)
1package xiangshan.backend.issue
2
3import org.chipsalliance.cde.config.Parameters
4import chisel3._
5import chisel3.util._
6import ujson.IndexedValue.True
7import utils.MathUtils
8import utility.{HasCircularQueuePtrHelper, XSError}
9import xiangshan._
10import xiangshan.backend.Bundles._
11import xiangshan.backend.datapath.DataSource
12import xiangshan.backend.fu.FuType
13import xiangshan.backend.fu.vector.Bundles.NumLsElem
14import xiangshan.backend.rob.RobPtr
15import xiangshan.mem.{LqPtr, MemWaitUpdateReq, SqPtr}
16
17object EntryBundles extends HasCircularQueuePtrHelper {
18
19  class Status(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
20    //basic status
21    val robIdx                = new RobPtr
22    val fuType                = IQFuType()
23    //src status
24    val srcStatus             = Vec(params.numRegSrc, new SrcStatus)
25    //issue status
26    val blocked               = Bool()
27    val issued                = Bool()
28    val firstIssue            = Bool()
29    val issueTimer            = UInt(2.W)
30    val deqPortIdx            = UInt(1.W)
31    //vector mem status
32    val vecMem                = Option.when(params.isVecMemIQ)(new StatusVecMemPart)
33
34    def srcReady: Bool        = {
35      VecInit(srcStatus.map(_.srcState).map(SrcState.isReady)).asUInt.andR
36    }
37
38    def canIssue: Bool        = {
39      srcReady && !issued && !blocked
40    }
41
42    def mergedLoadDependency: Vec[UInt] = {
43      srcStatus.map(_.srcLoadDependency).reduce({
44        case (l: Vec[UInt], r: Vec[UInt]) => VecInit(l.zip(r).map(x => x._1 | x._2))
45      }: (Vec[UInt], Vec[UInt]) => Vec[UInt])
46    }
47  }
48
49  class SrcStatus(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
50    val psrc                  = UInt(params.rdPregIdxWidth.W)
51    val srcType               = SrcType()
52    val srcState              = SrcState()
53    val dataSources           = DataSource()
54    val srcLoadDependency     = Vec(LoadPipelineWidth, UInt(LoadDependencyWidth.W))
55    val exuSources            = Option.when(params.hasIQWakeUp)(ExuSource())
56    //reg cache
57    val useRegCache           = Option.when(params.needReadRegCache)(Bool())
58    val regCacheIdx           = Option.when(params.needReadRegCache)(UInt(RegCacheIdxWidth.W))
59  }
60
61  class StatusVecMemPart(implicit p:Parameters, params: IssueBlockParams) extends Bundle {
62    val sqIdx                 = new SqPtr
63    val lqIdx                 = new LqPtr
64    val numLsElem             = NumLsElem()
65  }
66
67  class EntryDeqRespBundle(implicit p: Parameters, val params: IssueBlockParams) extends XSBundle {
68    val robIdx                = new RobPtr
69    val resp                  = RespType()
70    val fuType                = FuType()
71    val uopIdx                = Option.when(params.isVecMemIQ)(Output(UopIdx()))
72    val sqIdx                 = Option.when(params.needFeedBackSqIdx)(new SqPtr())
73    val lqIdx                 = Option.when(params.needFeedBackLqIdx)(new LqPtr())
74  }
75
76  object RespType {
77    def apply() = UInt(2.W)
78
79    def isBlocked(resp: UInt) = {
80      resp === block
81    }
82
83    def succeed(resp: UInt) = {
84      resp === success
85    }
86
87    val block = "b00".U
88    val uncertain = "b01".U
89    val success = "b11".U
90  }
91
92  class EntryBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
93    val status                = new Status()
94    val imm                   = Option.when(params.needImm)(UInt((params.deqImmTypesMaxLen).W))
95    val payload               = new DynInst()
96  }
97
98  class CommonInBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
99    val flush                 = Flipped(ValidIO(new Redirect))
100    val enq                   = Flipped(ValidIO(new EntryBundle))
101    //wakeup
102    val wakeUpFromWB: MixedVec[ValidIO[IssueQueueWBWakeUpBundle]] = Flipped(params.genWBWakeUpSinkValidBundle)
103    val wakeUpFromIQ: MixedVec[ValidIO[IssueQueueIQWakeUpBundle]] = Flipped(params.genIQWakeUpSinkValidBundle)
104    // vl
105    val vlFromIntIsZero       = Input(Bool())
106    val vlFromIntIsVlmax      = Input(Bool())
107    val vlFromVfIsZero        = Input(Bool())
108    val vlFromVfIsVlmax       = Input(Bool())
109    //cancel
110    val og0Cancel             = Input(ExuVec())
111    val og1Cancel             = Input(ExuVec())
112    val ldCancel              = Vec(backendParams.LdExuCnt, Flipped(new LoadCancelIO))
113    //deq sel
114    val deqSel                = Input(Bool())
115    val deqPortIdxWrite       = Input(UInt(1.W))
116    val issueResp             = Flipped(ValidIO(new EntryDeqRespBundle))
117    //trans sel
118    val transSel              = Input(Bool())
119    // vector mem only
120    val fromLsq = Option.when(params.isVecMemIQ)(new Bundle {
121      val sqDeqPtr            = Input(new SqPtr)
122      val lqDeqPtr            = Input(new LqPtr)
123    })
124  }
125
126  class CommonOutBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
127    //status
128    val valid                 = Output(Bool())
129    val issued                = Output(Bool())
130    val canIssue              = Output(Bool())
131    val fuType                = Output(FuType())
132    val robIdx                = Output(new RobPtr)
133    val uopIdx                = Option.when(params.isVecMemIQ)(Output(UopIdx()))
134    //src
135    val dataSources           = Vec(params.numRegSrc, Output(DataSource()))
136    val exuSources            = Option.when(params.hasIQWakeUp)(Vec(params.numRegSrc, Output(ExuSource())))
137    //deq
138    val isFirstIssue          = Output(Bool())
139    val entry                 = ValidIO(new EntryBundle)
140    val cancelBypass          = Output(Bool())
141    val deqPortIdxRead        = Output(UInt(1.W))
142    val issueTimerRead        = Output(UInt(2.W))
143    //trans
144    val enqReady              = Output(Bool())
145    val transEntry            = ValidIO(new EntryBundle)
146    // debug
147    val entryInValid          = Output(Bool())
148    val entryOutDeqValid      = Output(Bool())
149    val entryOutTransValid    = Output(Bool())
150    val perfLdCancel          = Option.when(params.hasIQWakeUp)(Output(Vec(params.numRegSrc, Bool())))
151    val perfOg0Cancel         = Option.when(params.hasIQWakeUp)(Output(Vec(params.numRegSrc, Bool())))
152    val perfWakeupByWB        = Output(Vec(params.numRegSrc, Bool()))
153    val perfWakeupByIQ        = Option.when(params.hasIQWakeUp)(Output(Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool()))))
154  }
155
156  class CommonWireBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
157    val validRegNext          = Bool()
158    val flushed               = Bool()
159    val clear                 = Bool()
160    val canIssue              = Bool()
161    val enqReady              = Bool()
162    val deqSuccess            = Bool()
163    val srcWakeupByWB         = Vec(params.numRegSrc, Bool())
164    val vlWakeupByIntWb       = Bool()
165    val vlWakeupByVfWb        = Bool()
166    val srcCancelVec          = Vec(params.numRegSrc, Bool())
167    val srcLoadCancelVec      = Vec(params.numRegSrc, Bool())
168    val srcLoadTransCancelVec = Vec(params.numRegSrc, Bool())
169    val srcLoadDependencyNext = Vec(params.numRegSrc, Vec(LoadPipelineWidth, UInt(LoadDependencyWidth.W)))
170  }
171
172  def CommonWireConnect(common: CommonWireBundle, hasIQWakeup: Option[CommonIQWakeupBundle], validReg: Bool, status: Status, commonIn: CommonInBundle, isEnq: Boolean)(implicit p: Parameters, params: IssueBlockParams) = {
173    val hasIQWakeupGet        = hasIQWakeup.getOrElse(0.U.asTypeOf(new CommonIQWakeupBundle))
174    common.flushed            := status.robIdx.needFlush(commonIn.flush)
175    common.deqSuccess         := (if (params.isVecMemIQ) status.issued else true.B) &&
176      commonIn.issueResp.valid && RespType.succeed(commonIn.issueResp.bits.resp) && !common.srcLoadCancelVec.asUInt.orR
177    common.srcWakeupByWB      := commonIn.wakeUpFromWB.map{ bundle =>
178                                    val psrcSrcTypeVec = status.srcStatus.map(_.psrc) zip status.srcStatus.map(_.srcType)
179                                    if (params.numRegSrc == 5) {
180                                      bundle.bits.wakeUp(psrcSrcTypeVec.take(3), bundle.valid) :+
181                                      bundle.bits.wakeUpV0(psrcSrcTypeVec(3), bundle.valid) :+
182                                      bundle.bits.wakeUpVl(psrcSrcTypeVec(4), bundle.valid)
183                                    }
184                                    else
185                                      bundle.bits.wakeUp(psrcSrcTypeVec, bundle.valid)
186                                 }.transpose.map(x => VecInit(x.toSeq).asUInt.orR).toSeq
187    common.canIssue           := validReg && status.canIssue
188    common.enqReady           := !validReg || commonIn.transSel
189    common.clear              := common.flushed || common.deqSuccess || commonIn.transSel
190    common.srcCancelVec.zip(hasIQWakeupGet.srcWakeupByIQWithoutCancel).zipWithIndex.foreach { case ((srcCancel, wakeUpByIQVec), srcIdx) =>
191      common.srcLoadTransCancelVec(srcIdx) := (if(params.hasIQWakeUp) Mux1H(wakeUpByIQVec, hasIQWakeupGet.wakeupLoadDependencyByIQVec.map(dep => LoadShouldCancel(Some(dep), commonIn.ldCancel))) else false.B)
192      common.srcLoadCancelVec(srcIdx) := LoadShouldCancel(Some(status.srcStatus(srcIdx).srcLoadDependency), commonIn.ldCancel)
193      srcCancel := common.srcLoadTransCancelVec(srcIdx) || common.srcLoadCancelVec(srcIdx)
194    }
195    common.srcLoadDependencyNext.zip(status.srcStatus.map(_.srcLoadDependency)).foreach { case (ldsNext, lds) =>
196      ldsNext.zip(lds).foreach{ case (ldNext, ld) => ldNext := ld << 1 }
197    }
198    if(isEnq) {
199      common.validRegNext     := Mux(commonIn.enq.valid && common.enqReady, true.B, Mux(common.clear, false.B, validReg))
200    } else {
201      common.validRegNext     := Mux(commonIn.enq.valid, true.B, Mux(common.clear, false.B, validReg))
202    }
203    if (params.numRegSrc == 5) {
204      // only when numRegSrc == 5 need vl
205      val wakeUpFromVl = VecInit(commonIn.wakeUpFromWB.map{ bundle =>
206        val psrcSrcTypeVec = status.srcStatus.map(_.psrc) zip status.srcStatus.map(_.srcType)
207        bundle.bits.wakeUpVl(psrcSrcTypeVec(4), bundle.valid)
208      })
209      var numVecWb = params.backendParam.getVfWBExeGroup.size
210      var numV0Wb = params.backendParam.getV0WBExeGroup.size
211      var intSchdVlWbPort = p(XSCoreParamsKey).intSchdVlWbPort
212      var vfSchdVlWbPort = p(XSCoreParamsKey).vfSchdVlWbPort
213      // int wb is first bit of vlwb, which is after vfwb and v0wb
214      common.vlWakeupByIntWb  := wakeUpFromVl(numVecWb + numV0Wb + intSchdVlWbPort)
215      // vf wb is second bit of wb
216      common.vlWakeupByVfWb   := wakeUpFromVl(numVecWb + numV0Wb + vfSchdVlWbPort)
217    } else {
218      common.vlWakeupByIntWb  := false.B
219      common.vlWakeupByVfWb   := false.B
220    }
221  }
222
223  class CommonIQWakeupBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
224    val srcWakeupByIQ                             = Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool()))
225    val srcWakeupByIQWithoutCancel                = Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool()))
226    val srcWakeupByIQButCancel                    = Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool()))
227    val wakeupLoadDependencyByIQVec               = Vec(params.numWakeupFromIQ, Vec(LoadPipelineWidth, UInt(LoadDependencyWidth.W)))
228    val shiftedWakeupLoadDependencyByIQVec        = Vec(params.numWakeupFromIQ, Vec(LoadPipelineWidth, UInt(LoadDependencyWidth.W)))
229    val canIssueBypass                            = Bool()
230  }
231
232  def CommonIQWakeupConnect(common: CommonWireBundle, hasIQWakeupGet: CommonIQWakeupBundle, validReg: Bool, status: Status, commonIn: CommonInBundle, isEnq: Boolean)(implicit p: Parameters, params: IssueBlockParams) = {
233    val wakeupVec: Seq[Seq[Bool]] = commonIn.wakeUpFromIQ.map{(bundle: ValidIO[IssueQueueIQWakeUpBundle]) =>
234      val psrcSrcTypeVec = status.srcStatus.map(_.psrc) zip status.srcStatus.map(_.srcType)
235      if (params.numRegSrc == 5) {
236        bundle.bits.wakeUpFromIQ(psrcSrcTypeVec.take(3)) :+
237        bundle.bits.wakeUpV0FromIQ(psrcSrcTypeVec(3)) :+
238        bundle.bits.wakeUpVlFromIQ(psrcSrcTypeVec(4))
239      }
240      else
241        bundle.bits.wakeUpFromIQ(psrcSrcTypeVec)
242    }.toSeq.transpose
243    val cancelSel = params.wakeUpSourceExuIdx.zip(commonIn.wakeUpFromIQ).map { case (x, y) => commonIn.og0Cancel(x) && y.bits.is0Lat }
244
245    hasIQWakeupGet.srcWakeupByIQ                    := wakeupVec.map(x => VecInit(x.zip(cancelSel).map { case (wakeup, cancel) => wakeup && !cancel }))
246    hasIQWakeupGet.srcWakeupByIQButCancel           := wakeupVec.map(x => VecInit(x.zip(cancelSel).map { case (wakeup, cancel) => wakeup && cancel }))
247    hasIQWakeupGet.srcWakeupByIQWithoutCancel       := wakeupVec.map(x => VecInit(x))
248    hasIQWakeupGet.wakeupLoadDependencyByIQVec      := commonIn.wakeUpFromIQ.map(_.bits.loadDependency).toSeq
249    hasIQWakeupGet.canIssueBypass                   := validReg && !status.issued && !status.blocked &&
250      VecInit(status.srcStatus.map(_.srcState).zip(hasIQWakeupGet.srcWakeupByIQWithoutCancel).zipWithIndex.map { case ((state, wakeupVec), srcIdx) =>
251        wakeupVec.asUInt.orR | state
252      }).asUInt.andR
253  }
254
255
256  def ShiftLoadDependency(hasIQWakeupGet: CommonIQWakeupBundle)(implicit p: Parameters, params: IssueBlockParams) = {
257    hasIQWakeupGet.shiftedWakeupLoadDependencyByIQVec
258      .zip(hasIQWakeupGet.wakeupLoadDependencyByIQVec)
259      .zip(params.wakeUpInExuSources.map(_.name)).foreach {
260      case ((deps, originalDeps), name) => deps.zip(originalDeps).zipWithIndex.foreach {
261        case ((dep, originalDep), deqPortIdx) =>
262          if (params.backendParam.getLdExuIdx(params.backendParam.allExuParams.find(_.name == name).get) == deqPortIdx)
263            dep := 1.U
264          else
265            dep := originalDep << 1
266      }
267    }
268  }
269
270  def wakeUpByVf(exuSource: ExuSource)(implicit p: Parameters, params: IssueBlockParams): Bool = {
271    val allExuParams = p(XSCoreParamsKey).backendParams.allExuParams
272    exuSource.toExuOH(params).zip(allExuParams).map{case (oh,e) =>
273      if (e.isVfExeUnit) oh else false.B
274    }.reduce(_ || _)
275  }
276
277  def EntryRegCommonConnect(common: CommonWireBundle, hasIQWakeup: Option[CommonIQWakeupBundle], validReg: Bool, entryUpdate: EntryBundle, entryReg: EntryBundle, status: Status, commonIn: CommonInBundle, isEnq: Boolean, isComp: Boolean)(implicit p: Parameters, params: IssueBlockParams) = {
278    val hasIQWakeupGet                                 = hasIQWakeup.getOrElse(0.U.asTypeOf(new CommonIQWakeupBundle))
279    val cancelBypassVec                                = Wire(Vec(params.numRegSrc, Bool()))
280    val srcCancelByLoad                                = common.srcLoadCancelVec.asUInt.orR
281    val respIssueFail                                  = commonIn.issueResp.valid && RespType.isBlocked(commonIn.issueResp.bits.resp)
282    entryUpdate.status.robIdx                         := status.robIdx
283    entryUpdate.status.fuType                         := IQFuType.readFuType(status.fuType, params.getFuCfgs.map(_.fuType))
284    entryUpdate.status.srcStatus.zip(status.srcStatus).zipWithIndex.foreach { case ((srcStatusNext, srcStatus), srcIdx) =>
285      val srcLoadCancel = common.srcLoadCancelVec(srcIdx)
286      val loadTransCancel = common.srcLoadTransCancelVec(srcIdx)
287      val wakeupByWB = common.srcWakeupByWB(srcIdx)
288      val wakeupByIQ = hasIQWakeupGet.srcWakeupByIQ(srcIdx).asUInt.orR && !loadTransCancel
289      val wakeupByIQOH = hasIQWakeupGet.srcWakeupByIQ(srcIdx)
290      val wakeupByMemIQ = wakeupByIQOH.zip(commonIn.wakeUpFromIQ).filter(_._2.bits.params.isMemExeUnit).map(_._1).fold(false.B)(_ || _)
291      cancelBypassVec(srcIdx) := (if (isComp) Mux(hasIQWakeupGet.srcWakeupByIQWithoutCancel(srcIdx).asUInt.orR, loadTransCancel, srcLoadCancel)
292                                  else srcLoadCancel)
293
294      val ignoreOldVd = Wire(Bool())
295      val vlWakeUpByIntWb = common.vlWakeupByIntWb
296      val vlWakeUpByVfWb = common.vlWakeupByVfWb
297      val isDependOldVd = entryReg.payload.vpu.isDependOldVd
298      val isWritePartVd = entryReg.payload.vpu.isWritePartVd
299      val vta = entryReg.payload.vpu.vta
300      val vma = entryReg.payload.vpu.vma
301      val vm = entryReg.payload.vpu.vm
302      val vlFromIntIsZero = commonIn.vlFromIntIsZero
303      val vlFromIntIsVlmax = commonIn.vlFromIntIsVlmax
304      val vlFromVfIsZero = commonIn.vlFromVfIsZero
305      val vlFromVfIsVlmax = commonIn.vlFromVfIsVlmax
306      val vlIsVlmax = (vlFromIntIsVlmax && vlWakeUpByIntWb) || (vlFromVfIsVlmax && vlWakeUpByVfWb)
307      val vlIsNonZero = (!vlFromIntIsZero && vlWakeUpByIntWb) || (!vlFromVfIsZero && vlWakeUpByVfWb)
308      val ignoreTail = vlIsVlmax && (vm =/= 0.U || vma) && !isWritePartVd
309      val ignoreWhole = (vm =/= 0.U || vma) && vta
310      val srcIsVec = SrcType.isVp(srcStatus.srcType)
311      if (params.numVfSrc > 0 && srcIdx == 2) {
312        /**
313          * the src store the old vd, update it when vl is write back
314          * 1. when the instruction depend on old vd, we cannot set the srctype to imm, we will update the method of uop split to avoid this situation soon
315          * 2. when vl = 0, we cannot set the srctype to imm because the vd keep the old value
316          * 3. when vl = vlmax, we can set srctype to imm when vta is not set
317          */
318        ignoreOldVd := srcIsVec && vlIsNonZero && !isDependOldVd && (ignoreTail || ignoreWhole)
319      } else {
320        ignoreOldVd := false.B
321      }
322
323      srcStatusNext.psrc                              := srcStatus.psrc
324      srcStatusNext.srcType                           := Mux(ignoreOldVd, SrcType.no, srcStatus.srcType)
325      srcStatusNext.srcState                          := srcStatus.srcState & !srcLoadCancel | wakeupByWB | wakeupByIQ | ignoreOldVd
326      srcStatusNext.dataSources.value                 := (if (params.inVfSchd && params.readVfRf && params.hasIQWakeUp) {
327                                                            // Vf / Mem -> Vf
328                                                            MuxCase(srcStatus.dataSources.value, Seq(
329                                                              ignoreOldVd                       -> DataSource.imm,
330                                                              (wakeupByIQ && wakeupByMemIQ)     -> DataSource.bypass2,
331                                                              (wakeupByIQ && !wakeupByMemIQ)    -> DataSource.bypass,
332                                                              srcStatus.dataSources.readBypass  -> DataSource.bypass2,
333                                                              srcStatus.dataSources.readBypass2 -> DataSource.reg,
334                                                            ))
335                                                          }
336                                                          else if (params.inMemSchd && params.readVfRf && params.hasIQWakeUp) {
337                                                            // Vf / Int -> Mem
338                                                            MuxCase(srcStatus.dataSources.value, Seq(
339                                                              wakeupByIQ                                                               -> DataSource.bypass,
340                                                              (srcStatus.dataSources.readBypass && wakeUpByVf(srcStatus.exuSources.get)) -> DataSource.bypass2,
341                                                              (srcStatus.dataSources.readBypass && !wakeUpByVf(srcStatus.exuSources.get)) -> DataSource.reg,
342                                                              srcStatus.dataSources.readBypass2                                        -> DataSource.reg,
343                                                            ))
344                                                          }
345                                                          else {
346                                                            MuxCase(srcStatus.dataSources.value, Seq(
347                                                              ignoreOldVd                        -> DataSource.imm,
348                                                              wakeupByIQ                         -> DataSource.bypass,
349                                                              srcStatus.dataSources.readBypass   -> DataSource.reg,
350                                                            ))
351                                                          })
352      if(params.hasIQWakeUp) {
353        srcStatusNext.exuSources.get.value            := Mux(wakeupByIQOH.asUInt.orR,
354                                                            ExuSource().fromExuOH(params, Mux1H(wakeupByIQOH, params.wakeUpSourceExuIdx.map(x => MathUtils.IntToOH(x).U(p(XSCoreParamsKey).backendParams.numExu.W)))),
355                                                            srcStatus.exuSources.get.value)
356        srcStatusNext.srcLoadDependency               := Mux(wakeupByIQ,
357                                                            Mux1H(wakeupByIQOH, hasIQWakeupGet.shiftedWakeupLoadDependencyByIQVec),
358                                                            common.srcLoadDependencyNext(srcIdx))
359      } else {
360        srcStatusNext.srcLoadDependency               := common.srcLoadDependencyNext(srcIdx)
361      }
362
363      if (params.needReadRegCache) {
364        val wakeupSrcExuWriteRC = wakeupByIQOH.zip(commonIn.wakeUpFromIQ).filter(_._2.bits.params.needWriteRegCache)
365        val wakeupRC    = wakeupSrcExuWriteRC.map(_._1).fold(false.B)(_ || _) && SrcType.isXp(srcStatus.srcType)
366        val wakeupRCIdx = Mux1H(wakeupSrcExuWriteRC.map(_._1), wakeupSrcExuWriteRC.map(_._2.bits.rcDest.get))
367        val replaceRC   = wakeupSrcExuWriteRC.map(x => x._2.bits.rfWen && x._2.bits.rcDest.get === srcStatus.regCacheIdx.get).fold(false.B)(_ || _)
368
369        srcStatusNext.useRegCache.get                 := srcStatus.useRegCache.get && !(srcLoadCancel || replaceRC) || wakeupRC
370        srcStatusNext.regCacheIdx.get                 := Mux(wakeupRC, wakeupRCIdx, srcStatus.regCacheIdx.get)
371      }
372    }
373    entryUpdate.status.blocked                        := false.B
374    entryUpdate.status.issued                         := MuxCase(status.issued, Seq(
375                                                          (commonIn.deqSel && !cancelBypassVec.asUInt.orR)  -> true.B,
376                                                          (srcCancelByLoad || respIssueFail)                -> false.B,
377                                                         ))
378    entryUpdate.status.firstIssue                     := commonIn.deqSel || status.firstIssue
379    entryUpdate.status.issueTimer                     := Mux(commonIn.deqSel, 0.U, Mux(status.issued, Mux(status.issueTimer === "b11".U, status.issueTimer, status.issueTimer + 1.U), "b11".U))
380    entryUpdate.status.deqPortIdx                     := Mux(commonIn.deqSel, commonIn.deqPortIdxWrite, Mux(status.issued, status.deqPortIdx, 0.U))
381    entryUpdate.imm.foreach(_                         := entryReg.imm.get)
382    entryUpdate.payload                               := entryReg.payload
383    if (params.isVecMemIQ) {
384      entryUpdate.status.vecMem.get := entryReg.status.vecMem.get
385    }
386  }
387
388  def CommonOutConnect(commonOut: CommonOutBundle, common: CommonWireBundle, hasIQWakeup: Option[CommonIQWakeupBundle], validReg: Bool, entryUpdate: EntryBundle, entryReg: EntryBundle, status: Status, commonIn: CommonInBundle, isEnq: Boolean, isComp: Boolean)(implicit p: Parameters, params: IssueBlockParams) = {
389    val hasIQWakeupGet                                 = hasIQWakeup.getOrElse(0.U.asTypeOf(new CommonIQWakeupBundle))
390    commonOut.valid                                   := validReg
391    commonOut.issued                                  := entryReg.status.issued
392    commonOut.canIssue                                := (if (isComp) (common.canIssue || hasIQWakeupGet.canIssueBypass) && !common.flushed
393                                                          else common.canIssue && !common.flushed)
394    commonOut.fuType                                  := IQFuType.readFuType(status.fuType, params.getFuCfgs.map(_.fuType)).asUInt
395    commonOut.robIdx                                  := status.robIdx
396    commonOut.dataSources.zipWithIndex.foreach{ case (dataSourceOut, srcIdx) =>
397      val wakeupByIQWithoutCancel = hasIQWakeupGet.srcWakeupByIQWithoutCancel(srcIdx).asUInt.orR
398      val wakeupByIQWithoutCancelOH = hasIQWakeupGet.srcWakeupByIQWithoutCancel(srcIdx)
399      val isWakeupByMemIQ = wakeupByIQWithoutCancelOH.zip(commonIn.wakeUpFromIQ).filter(_._2.bits.params.isMemExeUnit).map(_._1).fold(false.B)(_ || _)
400      val useRegCache = status.srcStatus(srcIdx).useRegCache.getOrElse(false.B) && status.srcStatus(srcIdx).dataSources.readReg
401      dataSourceOut.value                             := (if (isComp)
402                                                            if (params.inVfSchd && params.readVfRf && params.hasWakeupFromMem) {
403                                                              MuxCase(status.srcStatus(srcIdx).dataSources.value, Seq(
404                                                                (wakeupByIQWithoutCancel && !isWakeupByMemIQ)  -> DataSource.forward,
405                                                                (wakeupByIQWithoutCancel && isWakeupByMemIQ)   -> DataSource.bypass,
406                                                              ))
407                                                            } else {
408                                                              MuxCase(status.srcStatus(srcIdx).dataSources.value, Seq(
409                                                                wakeupByIQWithoutCancel                        -> DataSource.forward,
410                                                                useRegCache                                    -> DataSource.regcache,
411                                                              ))
412                                                            }
413                                                          else {
414                                                              MuxCase(status.srcStatus(srcIdx).dataSources.value, Seq(
415                                                                useRegCache                                    -> DataSource.regcache,
416                                                              ))
417                                                          })
418    }
419    commonOut.isFirstIssue                            := !status.firstIssue
420    commonOut.entry.valid                             := validReg
421    commonOut.entry.bits                              := entryReg
422    if(isEnq) {
423      commonOut.entry.bits.status                     := status
424    }
425    commonOut.issueTimerRead                          := status.issueTimer
426    commonOut.deqPortIdxRead                          := status.deqPortIdx
427
428    if(params.hasIQWakeUp) {
429      commonOut.exuSources.get.zipWithIndex.foreach{ case (exuSourceOut, srcIdx) =>
430        val wakeupByIQWithoutCancelOH = hasIQWakeupGet.srcWakeupByIQWithoutCancel(srcIdx)
431        if (isComp)
432          exuSourceOut.value := Mux(wakeupByIQWithoutCancelOH.asUInt.orR,
433                                    ExuSource().fromExuOH(params, Mux1H(wakeupByIQWithoutCancelOH, params.wakeUpSourceExuIdx.map(x => MathUtils.IntToOH(x).U(p(XSCoreParamsKey).backendParams.numExu.W)))),
434                                    status.srcStatus(srcIdx).exuSources.get.value)
435        else
436          exuSourceOut.value := status.srcStatus(srcIdx).exuSources.get.value
437      }
438    }
439
440    val srcLoadDependencyOut                           = Wire(chiselTypeOf(common.srcLoadDependencyNext))
441    if(params.hasIQWakeUp) {
442      val wakeupSrcLoadDependencyNext                  = hasIQWakeupGet.srcWakeupByIQWithoutCancel.map(x => Mux1H(x, hasIQWakeupGet.shiftedWakeupLoadDependencyByIQVec))
443      srcLoadDependencyOut.zipWithIndex.foreach { case (ldOut, srcIdx) =>
444        ldOut                                         := (if (isComp) Mux(hasIQWakeupGet.srcWakeupByIQWithoutCancel(srcIdx).asUInt.orR,
445                                                                      wakeupSrcLoadDependencyNext(srcIdx),
446                                                                      common.srcLoadDependencyNext(srcIdx))
447                                                          else common.srcLoadDependencyNext(srcIdx))
448      }
449    } else {
450      srcLoadDependencyOut                            := common.srcLoadDependencyNext
451    }
452    commonOut.cancelBypass                            := VecInit(hasIQWakeupGet.srcWakeupByIQWithoutCancel.zipWithIndex.map{ case (wakeupVec, srcIdx) =>
453                                                            if (isComp) Mux(wakeupVec.asUInt.orR, common.srcLoadTransCancelVec(srcIdx), common.srcLoadCancelVec(srcIdx))
454                                                            else common.srcLoadCancelVec(srcIdx)
455                                                         }).asUInt.orR
456    commonOut.entry.bits.status.srcStatus.map(_.srcLoadDependency).zipWithIndex.foreach { case (ldOut, srcIdx) =>
457      ldOut                                           := srcLoadDependencyOut(srcIdx)
458    }
459
460    commonOut.enqReady                                := common.enqReady
461    commonOut.transEntry.valid                        := validReg && !common.flushed && !status.issued
462    commonOut.transEntry.bits                         := entryUpdate
463    // debug
464    commonOut.entryInValid                            := commonIn.enq.valid
465    commonOut.entryOutDeqValid                        := validReg && (common.flushed || common.deqSuccess)
466    commonOut.entryOutTransValid                      := validReg && commonIn.transSel && !(common.flushed || common.deqSuccess)
467    commonOut.perfWakeupByWB                          := common.srcWakeupByWB.zip(status.srcStatus).map{ case (w, s) => w && SrcState.isBusy(s.srcState) && validReg }
468    if (params.hasIQWakeUp) {
469      commonOut.perfLdCancel.get                      := common.srcCancelVec.map(_ && validReg)
470      commonOut.perfOg0Cancel.get                     := hasIQWakeupGet.srcWakeupByIQButCancel.map(_.asUInt.orR && validReg)
471      commonOut.perfWakeupByIQ.get                    := hasIQWakeupGet.srcWakeupByIQ.map(x => VecInit(x.map(_ && validReg)))
472    }
473    // vecMem
474    if (params.isVecMemIQ) {
475      commonOut.uopIdx.get                            := entryReg.payload.uopIdx
476    }
477  }
478
479  def EntryVecMemConnect(commonIn: CommonInBundle, common: CommonWireBundle, validReg: Bool, entryReg: EntryBundle, entryRegNext: EntryBundle, entryUpdate: EntryBundle)(implicit p: Parameters, params: IssueBlockParams) = {
480    val fromLsq                                        = commonIn.fromLsq.get
481    val vecMemStatus                                   = entryReg.status.vecMem.get
482    val vecMemStatusUpdate                             = entryUpdate.status.vecMem.get
483    vecMemStatusUpdate                                := vecMemStatus
484
485    val isFirstLoad = entryReg.status.vecMem.get.lqIdx === fromLsq.lqDeqPtr
486
487    val isVleff                                        = entryReg.payload.vpu.isVleff
488    // update blocked
489    entryUpdate.status.blocked                        := !isFirstLoad && isVleff
490  }
491
492  object IQFuType {
493    def num = FuType.num
494
495    def apply() = Vec(num, Bool())
496
497    def readFuType(fuType: Vec[Bool], fus: Seq[FuType.OHType]): Vec[Bool] = {
498      val res = WireDefault(0.U.asTypeOf(fuType))
499      fus.foreach(x => res(x.id) := fuType(x.id))
500      res
501    }
502  }
503
504  class EnqDelayInBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
505    //wakeup
506    val wakeUpFromWB: MixedVec[ValidIO[IssueQueueWBWakeUpBundle]] = Flipped(params.genWBWakeUpSinkValidBundle)
507    val wakeUpFromIQ: MixedVec[ValidIO[IssueQueueIQWakeUpBundle]] = Flipped(params.genIQWakeUpSinkValidBundle)
508    //cancel
509    val srcLoadDependency     = Input(Vec(params.numRegSrc, Vec(LoadPipelineWidth, UInt(LoadDependencyWidth.W))))
510    val og0Cancel             = Input(ExuVec())
511    val ldCancel              = Vec(backendParams.LdExuCnt, Flipped(new LoadCancelIO))
512  }
513
514  class EnqDelayOutBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
515    val srcWakeUpByWB: Vec[UInt]                            = Vec(params.numRegSrc, SrcState())
516    val srcWakeUpByIQ: Vec[UInt]                            = Vec(params.numRegSrc, SrcState())
517    val srcWakeUpByIQVec: Vec[Vec[Bool]]                    = Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool()))
518    val srcCancelByLoad: Vec[Bool]                          = Vec(params.numRegSrc, Bool())
519    val shiftedWakeupLoadDependencyByIQVec: Vec[Vec[UInt]]  = Vec(params.numWakeupFromIQ, Vec(LoadPipelineWidth, UInt(LoadDependencyWidth.W)))
520  }
521
522  def EnqDelayWakeupConnect(enqDelayIn: EnqDelayInBundle, enqDelayOut: EnqDelayOutBundle, status: Status, delay: Int)(implicit p: Parameters, params: IssueBlockParams) = {
523    enqDelayOut.srcWakeUpByWB.zipWithIndex.foreach { case (wakeup, i) =>
524      wakeup := enqDelayIn.wakeUpFromWB.map{ x =>
525        if (i == 3)
526          x.bits.wakeUpV0((status.srcStatus(i).psrc, status.srcStatus(i).srcType), x.valid)
527        else if (i == 4)
528          x.bits.wakeUpVl((status.srcStatus(i).psrc, status.srcStatus(i).srcType), x.valid)
529        else
530          x.bits.wakeUp(Seq((status.srcStatus(i).psrc, status.srcStatus(i).srcType)), x.valid).head
531      }.reduce(_ || _)
532    }
533
534    if (params.hasIQWakeUp) {
535      val wakeupVec: IndexedSeq[IndexedSeq[Bool]] = enqDelayIn.wakeUpFromIQ.map{ x =>
536        val psrcSrcTypeVec = status.srcStatus.map(_.psrc) zip status.srcStatus.map(_.srcType)
537        if (params.numRegSrc == 5) {
538          x.bits.wakeUpFromIQ(psrcSrcTypeVec.take(3)) :+
539          x.bits.wakeUpV0FromIQ(psrcSrcTypeVec(3)) :+
540          x.bits.wakeUpVlFromIQ(psrcSrcTypeVec(4))
541        }
542        else
543          x.bits.wakeUpFromIQ(psrcSrcTypeVec)
544      }.toIndexedSeq.transpose
545      val cancelSel = params.wakeUpSourceExuIdx.zip(enqDelayIn.wakeUpFromIQ).map{ case (x, y) => enqDelayIn.og0Cancel(x) && y.bits.is0Lat}
546      enqDelayOut.srcWakeUpByIQVec := wakeupVec.map(x => VecInit(x.zip(cancelSel).map { case (wakeup, cancel) => wakeup && !cancel }))
547    } else {
548      enqDelayOut.srcWakeUpByIQVec := 0.U.asTypeOf(enqDelayOut.srcWakeUpByIQVec)
549    }
550
551    if (params.hasIQWakeUp) {
552      enqDelayOut.srcWakeUpByIQ.zipWithIndex.foreach { case (wakeup, i) =>
553        val ldTransCancel = Mux1H(enqDelayOut.srcWakeUpByIQVec(i), enqDelayIn.wakeUpFromIQ.map(_.bits.loadDependency).map(dp => LoadShouldCancel(Some(dp), enqDelayIn.ldCancel)).toSeq)
554        wakeup := enqDelayOut.srcWakeUpByIQVec(i).asUInt.orR && !ldTransCancel
555      }
556      enqDelayOut.srcCancelByLoad.zipWithIndex.foreach { case (ldCancel, i) =>
557        ldCancel := LoadShouldCancel(Some(enqDelayIn.srcLoadDependency(i)), enqDelayIn.ldCancel)
558      }
559    } else {
560      enqDelayOut.srcWakeUpByIQ := 0.U.asTypeOf(enqDelayOut.srcWakeUpByIQ)
561      enqDelayOut.srcCancelByLoad := 0.U.asTypeOf(enqDelayOut.srcCancelByLoad)
562    }
563
564    enqDelayOut.shiftedWakeupLoadDependencyByIQVec.zip(enqDelayIn.wakeUpFromIQ.map(_.bits.loadDependency))
565      .zip(params.wakeUpInExuSources.map(_.name)).foreach { case ((dps, ldps), name) =>
566      dps.zip(ldps).zipWithIndex.foreach { case ((dp, ldp), deqPortIdx) =>
567        if (params.backendParam.getLdExuIdx(params.backendParam.allExuParams.find(_.name == name).get) == deqPortIdx)
568          dp := 1.U << (delay - 1)
569        else
570          dp := ldp << delay
571      }
572    }
573  }
574}
575