xref: /XiangShan/src/main/scala/xiangshan/backend/issue/EntryBundles.scala (revision ec49b127142e4bf028fe7f0b3d48fdb5f520f81c)
1aa2bcc31SzhanglyGitpackage xiangshan.backend.issue
2aa2bcc31SzhanglyGit
3aa2bcc31SzhanglyGitimport org.chipsalliance.cde.config.Parameters
4aa2bcc31SzhanglyGitimport chisel3._
5aa2bcc31SzhanglyGitimport chisel3.util._
6aa2bcc31SzhanglyGitimport utils.{MathUtils, OptionWrapper}
7aa2bcc31SzhanglyGitimport utility.HasCircularQueuePtrHelper
8aa2bcc31SzhanglyGitimport xiangshan._
9aa2bcc31SzhanglyGitimport xiangshan.backend.Bundles._
10aa2bcc31SzhanglyGitimport xiangshan.backend.datapath.DataSource
11aa2bcc31SzhanglyGitimport xiangshan.backend.fu.FuType
12aa2bcc31SzhanglyGitimport xiangshan.backend.rob.RobPtr
13aa2bcc31SzhanglyGitimport xiangshan.mem.{MemWaitUpdateReq, SqPtr, LqPtr}
14aa2bcc31SzhanglyGit
15aa2bcc31SzhanglyGitobject EntryBundles extends HasCircularQueuePtrHelper {
16aa2bcc31SzhanglyGit
17aa2bcc31SzhanglyGit  class Status(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
18aa2bcc31SzhanglyGit    //basic status
19aa2bcc31SzhanglyGit    val robIdx                = new RobPtr
20aa2bcc31SzhanglyGit    val fuType                = IQFuType()
21aa2bcc31SzhanglyGit    //src status
22aa2bcc31SzhanglyGit    val srcStatus             = Vec(params.numRegSrc, new SrcStatus)
23aa2bcc31SzhanglyGit    //issue status
24aa2bcc31SzhanglyGit    val blocked               = Bool()
25aa2bcc31SzhanglyGit    val issued                = Bool()
26aa2bcc31SzhanglyGit    val firstIssue            = Bool()
27aa2bcc31SzhanglyGit    val issueTimer            = UInt(2.W)
28aa2bcc31SzhanglyGit    val deqPortIdx            = UInt(1.W)
29aa2bcc31SzhanglyGit    //vector mem status
30aa2bcc31SzhanglyGit    val vecMem                = OptionWrapper(params.isVecMemIQ, new StatusVecMemPart)
31aa2bcc31SzhanglyGit
32aa2bcc31SzhanglyGit    def srcReady: Bool        = {
33aa2bcc31SzhanglyGit      VecInit(srcStatus.map(_.srcState).map(SrcState.isReady)).asUInt.andR
34aa2bcc31SzhanglyGit    }
35aa2bcc31SzhanglyGit
36aa2bcc31SzhanglyGit    def canIssue: Bool        = {
37aa2bcc31SzhanglyGit      srcReady && !issued && !blocked
38aa2bcc31SzhanglyGit    }
39aa2bcc31SzhanglyGit
40eea4a3caSzhanglyGit    def mergedLoadDependency: Vec[UInt] = {
41eea4a3caSzhanglyGit      srcStatus.map(_.srcLoadDependency).reduce({
42aa2bcc31SzhanglyGit        case (l: Vec[UInt], r: Vec[UInt]) => VecInit(l.zip(r).map(x => x._1 | x._2))
43eea4a3caSzhanglyGit      }: (Vec[UInt], Vec[UInt]) => Vec[UInt])
44aa2bcc31SzhanglyGit    }
45aa2bcc31SzhanglyGit  }
46aa2bcc31SzhanglyGit
47aa2bcc31SzhanglyGit  class SrcStatus(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
48aa2bcc31SzhanglyGit    val psrc                  = UInt(params.rdPregIdxWidth.W)
49aa2bcc31SzhanglyGit    val srcType               = SrcType()
50aa2bcc31SzhanglyGit    val srcState              = SrcState()
51aa2bcc31SzhanglyGit    val dataSources           = DataSource()
52*ec49b127Ssinsanction    val srcLoadDependency     = Vec(LoadPipelineWidth, UInt(LoadDependencyWidth.W))
53aa2bcc31SzhanglyGit    val srcWakeUpL1ExuOH      = OptionWrapper(params.hasIQWakeUp, ExuVec())
54aa2bcc31SzhanglyGit  }
55aa2bcc31SzhanglyGit
56aa2bcc31SzhanglyGit  class StatusVecMemPart(implicit p:Parameters, params: IssueBlockParams) extends Bundle {
57aa2bcc31SzhanglyGit    val sqIdx                 = new SqPtr
58aa2bcc31SzhanglyGit    val lqIdx                 = new LqPtr
59aa2bcc31SzhanglyGit  }
60aa2bcc31SzhanglyGit
61aa2bcc31SzhanglyGit  class EntryDeqRespBundle(implicit p: Parameters, params: IssueBlockParams) extends Bundle {
62aa2bcc31SzhanglyGit    val robIdx                = new RobPtr
63f08a822fSzhanglyGit    val resp                  = RespType()
64aa2bcc31SzhanglyGit    val fuType                = FuType()
65aa2bcc31SzhanglyGit    val uopIdx                = OptionWrapper(params.isVecMemIQ, Output(UopIdx()))
66aa2bcc31SzhanglyGit  }
67aa2bcc31SzhanglyGit
68f08a822fSzhanglyGit  object RespType {
69f08a822fSzhanglyGit    def apply() = UInt(2.W)
70f08a822fSzhanglyGit
71f08a822fSzhanglyGit    def isBlocked(resp: UInt) = {
72f08a822fSzhanglyGit      resp === block
73f08a822fSzhanglyGit    }
74f08a822fSzhanglyGit
75f08a822fSzhanglyGit    def succeed(resp: UInt) = {
76f08a822fSzhanglyGit      resp === success
77f08a822fSzhanglyGit    }
78f08a822fSzhanglyGit
79f08a822fSzhanglyGit    val block = "b00".U
80f08a822fSzhanglyGit    val uncertain = "b01".U
81f08a822fSzhanglyGit    val success = "b11".U
82f08a822fSzhanglyGit  }
83f08a822fSzhanglyGit
84aa2bcc31SzhanglyGit  class EntryBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
85aa2bcc31SzhanglyGit    val status                = new Status()
86aa2bcc31SzhanglyGit    val imm                   = OptionWrapper(params.needImm, UInt((params.deqImmTypesMaxLen).W))
87aa2bcc31SzhanglyGit    val payload               = new DynInst()
88aa2bcc31SzhanglyGit  }
89aa2bcc31SzhanglyGit
90aa2bcc31SzhanglyGit  class CommonInBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
91aa2bcc31SzhanglyGit    val flush                 = Flipped(ValidIO(new Redirect))
92aa2bcc31SzhanglyGit    val enq                   = Flipped(ValidIO(new EntryBundle))
93aa2bcc31SzhanglyGit    //wakeup
94aa2bcc31SzhanglyGit    val wakeUpFromWB: MixedVec[ValidIO[IssueQueueWBWakeUpBundle]] = Flipped(params.genWBWakeUpSinkValidBundle)
95aa2bcc31SzhanglyGit    val wakeUpFromIQ: MixedVec[ValidIO[IssueQueueIQWakeUpBundle]] = Flipped(params.genIQWakeUpSinkValidBundle)
96aa2bcc31SzhanglyGit    //cancel
97aa2bcc31SzhanglyGit    val og0Cancel             = Input(ExuOH(backendParams.numExu))
98aa2bcc31SzhanglyGit    val og1Cancel             = Input(ExuOH(backendParams.numExu))
99aa2bcc31SzhanglyGit    val ldCancel              = Vec(backendParams.LdExuCnt, Flipped(new LoadCancelIO))
100aa2bcc31SzhanglyGit    //deq sel
101aa2bcc31SzhanglyGit    val deqSel                = Input(Bool())
102aa2bcc31SzhanglyGit    val deqPortIdxWrite       = Input(UInt(1.W))
103aa2bcc31SzhanglyGit    val issueResp             = Flipped(ValidIO(new EntryDeqRespBundle))
104aa2bcc31SzhanglyGit    //trans sel
105aa2bcc31SzhanglyGit    val transSel              = Input(Bool())
106aa2bcc31SzhanglyGit    // vector mem only
107aa2bcc31SzhanglyGit    val fromLsq = OptionWrapper(params.isVecMemIQ, new Bundle {
108aa2bcc31SzhanglyGit      val sqDeqPtr            = Input(new SqPtr)
109aa2bcc31SzhanglyGit      val lqDeqPtr            = Input(new LqPtr)
110aa2bcc31SzhanglyGit    })
111aa2bcc31SzhanglyGit  }
112aa2bcc31SzhanglyGit
113aa2bcc31SzhanglyGit  class CommonOutBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
114aa2bcc31SzhanglyGit    //status
115aa2bcc31SzhanglyGit    val valid                 = Output(Bool())
116aa2bcc31SzhanglyGit    val canIssue              = Output(Bool())
117aa2bcc31SzhanglyGit    val fuType                = Output(FuType())
118aa2bcc31SzhanglyGit    val robIdx                = Output(new RobPtr)
119aa2bcc31SzhanglyGit    val uopIdx                = OptionWrapper(params.isVecMemIQ, Output(UopIdx()))
120aa2bcc31SzhanglyGit    //src
121aa2bcc31SzhanglyGit    val dataSource            = Vec(params.numRegSrc, Output(DataSource()))
122aa2bcc31SzhanglyGit    val srcWakeUpL1ExuOH      = OptionWrapper(params.hasIQWakeUp, Vec(params.numRegSrc, Output(ExuVec())))
123aa2bcc31SzhanglyGit    //deq
124aa2bcc31SzhanglyGit    val isFirstIssue          = Output(Bool())
125aa2bcc31SzhanglyGit    val entry                 = ValidIO(new EntryBundle)
126*ec49b127Ssinsanction    val cancelBypass          = Output(Bool())
127aa2bcc31SzhanglyGit    val deqPortIdxRead        = Output(UInt(1.W))
128aa2bcc31SzhanglyGit    val issueTimerRead        = Output(UInt(2.W))
129397c0f33Ssinsanction    //trans
130397c0f33Ssinsanction    val enqReady              = Output(Bool())
131397c0f33Ssinsanction    val transEntry            = ValidIO(new EntryBundle)
132aa2bcc31SzhanglyGit    // debug
133a6938b17Ssinsanction    val entryInValid          = Output(Bool())
134a6938b17Ssinsanction    val entryOutDeqValid      = Output(Bool())
135a6938b17Ssinsanction    val entryOutTransValid    = Output(Bool())
136e3ef3537Ssinsanction    val perfLdCancel          = OptionWrapper(params.hasIQWakeUp, Output(Vec(params.numRegSrc, Bool())))
137e3ef3537Ssinsanction    val perfOg0Cancel         = OptionWrapper(params.hasIQWakeUp, Output(Vec(params.numRegSrc, Bool())))
138e3ef3537Ssinsanction    val perfWakeupByWB        = Output(Vec(params.numRegSrc, Bool()))
139e3ef3537Ssinsanction    val perfWakeupByIQ        = OptionWrapper(params.hasIQWakeUp, Output(Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool()))))
140aa2bcc31SzhanglyGit  }
141aa2bcc31SzhanglyGit
142aa2bcc31SzhanglyGit  class CommonWireBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
143aa2bcc31SzhanglyGit    val validRegNext          = Bool()
144aa2bcc31SzhanglyGit    val flushed               = Bool()
145aa2bcc31SzhanglyGit    val clear                 = Bool()
146aa2bcc31SzhanglyGit    val canIssue              = Bool()
147aa2bcc31SzhanglyGit    val enqReady              = Bool()
148aa2bcc31SzhanglyGit    val deqSuccess            = Bool()
149aa2bcc31SzhanglyGit    val srcWakeup             = Vec(params.numRegSrc, Bool())
150aa2bcc31SzhanglyGit    val srcWakeupByWB         = Vec(params.numRegSrc, Bool())
151eea4a3caSzhanglyGit    val srcCancelVec          = Vec(params.numRegSrc, Bool())
152eea4a3caSzhanglyGit    val srcLoadCancelVec      = Vec(params.numRegSrc, Bool())
153*ec49b127Ssinsanction    val srcLoadDependencyNext = Vec(params.numRegSrc, Vec(LoadPipelineWidth, UInt(LoadDependencyWidth.W)))
154aa2bcc31SzhanglyGit  }
155aa2bcc31SzhanglyGit
1560dfdb52aSzhanglyGit  def CommonWireConnect(common: CommonWireBundle, hasIQWakeup: Option[CommonIQWakeupBundle], validReg: Bool, status: Status, commonIn: CommonInBundle, isEnq: Boolean)(implicit p: Parameters, params: IssueBlockParams) = {
157aa2bcc31SzhanglyGit    val hasIQWakeupGet        = hasIQWakeup.getOrElse(0.U.asTypeOf(new CommonIQWakeupBundle))
1580dfdb52aSzhanglyGit    common.flushed            := status.robIdx.needFlush(commonIn.flush)
159f08a822fSzhanglyGit    common.deqSuccess         := commonIn.issueResp.valid && RespType.succeed(commonIn.issueResp.bits.resp) && !common.srcLoadCancelVec.asUInt.orR
160aa2bcc31SzhanglyGit    common.srcWakeup          := common.srcWakeupByWB.zip(hasIQWakeupGet.srcWakeupByIQ).map { case (x, y) => x || y.asUInt.orR }
1610dfdb52aSzhanglyGit    common.srcWakeupByWB      := commonIn.wakeUpFromWB.map(bundle => bundle.bits.wakeUp(status.srcStatus.map(_.psrc) zip status.srcStatus.map(_.srcType), bundle.valid)).transpose.map(x => VecInit(x.toSeq).asUInt.orR).toSeq
162a4d38a63SzhanglyGit    common.canIssue           := validReg && status.canIssue
163aa2bcc31SzhanglyGit    common.enqReady           := !validReg || common.clear
16428607074Ssinsanction    common.clear              := common.flushed || common.deqSuccess || commonIn.transSel
165eea4a3caSzhanglyGit    common.srcCancelVec.zip(common.srcLoadCancelVec).zip(hasIQWakeupGet.srcWakeupByIQWithoutCancel).zipWithIndex.foreach { case (((srcCancel, srcLoadCancel), wakeUpByIQVec), srcIdx) =>
166eea4a3caSzhanglyGit      val ldTransCancel = if(params.hasIQWakeUp) Mux1H(wakeUpByIQVec, hasIQWakeupGet.wakeupLoadDependencyByIQVec.map(dep => LoadShouldCancel(Some(dep), commonIn.ldCancel))) else false.B
167eea4a3caSzhanglyGit      srcLoadCancel := LoadShouldCancel(Some(status.srcStatus(srcIdx).srcLoadDependency), commonIn.ldCancel)
168eea4a3caSzhanglyGit      srcCancel := srcLoadCancel || ldTransCancel
169eea4a3caSzhanglyGit    }
170*ec49b127Ssinsanction    common.srcLoadDependencyNext.zip(status.srcStatus.map(_.srcLoadDependency)).foreach { case (ldsNext, lds) =>
171*ec49b127Ssinsanction      ldsNext.zip(lds).foreach{ case (ldNext, ld) => ldNext := ld << 1 }
172eea4a3caSzhanglyGit    }
173aa2bcc31SzhanglyGit    if(isEnq) {
174aa2bcc31SzhanglyGit      common.validRegNext     := Mux(commonIn.enq.valid && common.enqReady, true.B, Mux(common.clear, false.B, validReg))
175aa2bcc31SzhanglyGit    } else {
17628607074Ssinsanction      common.validRegNext     := Mux(commonIn.enq.valid, true.B, Mux(common.clear, false.B, validReg))
177aa2bcc31SzhanglyGit    }
178aa2bcc31SzhanglyGit  }
179aa2bcc31SzhanglyGit
180aa2bcc31SzhanglyGit  class CommonIQWakeupBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
181aa2bcc31SzhanglyGit    val srcWakeupByIQ                             = Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool()))
182aa2bcc31SzhanglyGit    val srcWakeupByIQWithoutCancel                = Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool()))
183aa2bcc31SzhanglyGit    val srcWakeupByIQButCancel                    = Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool()))
184*ec49b127Ssinsanction    val srcWakeupL1ExuOH                          = Vec(params.numRegSrc, ExuVec())
185*ec49b127Ssinsanction    val wakeupLoadDependencyByIQVec               = Vec(params.numWakeupFromIQ, Vec(LoadPipelineWidth, UInt(LoadDependencyWidth.W)))
186*ec49b127Ssinsanction    val shiftedWakeupLoadDependencyByIQVec        = Vec(params.numWakeupFromIQ, Vec(LoadPipelineWidth, UInt(LoadDependencyWidth.W)))
187aa2bcc31SzhanglyGit    val canIssueBypass                            = Bool()
188aa2bcc31SzhanglyGit  }
189aa2bcc31SzhanglyGit
190aa2bcc31SzhanglyGit  def CommonIQWakeupConnect(common: CommonWireBundle, hasIQWakeupGet: CommonIQWakeupBundle, validReg: Bool, status: Status, commonIn: CommonInBundle, isEnq: Boolean)(implicit p: Parameters, params: IssueBlockParams) = {
191aa2bcc31SzhanglyGit    val wakeupVec: Seq[Seq[Bool]] = commonIn.wakeUpFromIQ.map((bundle: ValidIO[IssueQueueIQWakeUpBundle]) =>
192aa2bcc31SzhanglyGit      bundle.bits.wakeUpFromIQ(status.srcStatus.map(_.psrc) zip status.srcStatus.map(_.srcType))
193aa2bcc31SzhanglyGit    ).toSeq.transpose
194aa2bcc31SzhanglyGit    val cancelSel = params.wakeUpSourceExuIdx.zip(commonIn.wakeUpFromIQ).map { case (x, y) => commonIn.og0Cancel(x) && y.bits.is0Lat }
195aa2bcc31SzhanglyGit
196aa2bcc31SzhanglyGit    hasIQWakeupGet.srcWakeupByIQ                    := wakeupVec.map(x => VecInit(x.zip(cancelSel).map { case (wakeup, cancel) => wakeup && !cancel }))
197aa2bcc31SzhanglyGit    hasIQWakeupGet.srcWakeupByIQButCancel           := wakeupVec.map(x => VecInit(x.zip(cancelSel).map { case (wakeup, cancel) => wakeup && cancel }))
198aa2bcc31SzhanglyGit    hasIQWakeupGet.srcWakeupByIQWithoutCancel       := wakeupVec.map(x => VecInit(x))
199aa2bcc31SzhanglyGit    hasIQWakeupGet.wakeupLoadDependencyByIQVec      := commonIn.wakeUpFromIQ.map(_.bits.loadDependency).toSeq
200*ec49b127Ssinsanction    hasIQWakeupGet.srcWakeupL1ExuOH.zip(status.srcStatus.map(_.srcWakeUpL1ExuOH.get)).foreach {
201aa2bcc31SzhanglyGit      case (exuOH, regExuOH) =>
202aa2bcc31SzhanglyGit        exuOH                                       := 0.U.asTypeOf(exuOH)
203aa2bcc31SzhanglyGit        params.wakeUpSourceExuIdx.foreach(x => exuOH(x) := regExuOH(x))
204aa2bcc31SzhanglyGit    }
205aa2bcc31SzhanglyGit    hasIQWakeupGet.canIssueBypass                   := validReg && !status.issued && !status.blocked &&
206aa2bcc31SzhanglyGit      VecInit(status.srcStatus.map(_.srcState).zip(hasIQWakeupGet.srcWakeupByIQWithoutCancel).zipWithIndex.map { case ((state, wakeupVec), srcIdx) =>
207a4d38a63SzhanglyGit        wakeupVec.asUInt.orR | state
208aa2bcc31SzhanglyGit      }).asUInt.andR
209aa2bcc31SzhanglyGit  }
210aa2bcc31SzhanglyGit
211aa2bcc31SzhanglyGit
212aa2bcc31SzhanglyGit  def ShiftLoadDependency(hasIQWakeupGet: CommonIQWakeupBundle)(implicit p: Parameters, params: IssueBlockParams) = {
213aa2bcc31SzhanglyGit    hasIQWakeupGet.shiftedWakeupLoadDependencyByIQVec
214aa2bcc31SzhanglyGit      .zip(hasIQWakeupGet.wakeupLoadDependencyByIQVec)
215aa2bcc31SzhanglyGit      .zip(params.wakeUpInExuSources.map(_.name)).foreach {
216aa2bcc31SzhanglyGit      case ((deps, originalDeps), name) => deps.zip(originalDeps).zipWithIndex.foreach {
217aa2bcc31SzhanglyGit        case ((dep, originalDep), deqPortIdx) =>
21880c686d5SzhanglyGit          if (params.backendParam.getLdExuIdx(params.backendParam.allExuParams.find(_.name == name).get) == deqPortIdx)
219d2fb0dcdSzhanglyGit            dep := 1.U
220aa2bcc31SzhanglyGit          else
221*ec49b127Ssinsanction            dep := originalDep << 1
222aa2bcc31SzhanglyGit      }
223aa2bcc31SzhanglyGit    }
224aa2bcc31SzhanglyGit  }
225aa2bcc31SzhanglyGit
2262734c4a6Sxiao feibao  def wakeUpByVf(OH: Vec[Bool])(implicit p: Parameters): Bool = {
2272734c4a6Sxiao feibao    val allExuParams = p(XSCoreParamsKey).backendParams.allExuParams
2282734c4a6Sxiao feibao    OH.zip(allExuParams).map{case (oh,e) =>
2292734c4a6Sxiao feibao      if (e.isVfExeUnit) oh else false.B
2302734c4a6Sxiao feibao    }.reduce(_ || _)
2312734c4a6Sxiao feibao  }
2322734c4a6Sxiao feibao
233397c0f33Ssinsanction  def EntryRegCommonConnect(common: CommonWireBundle, hasIQWakeup: Option[CommonIQWakeupBundle], validReg: Bool, entryUpdate: EntryBundle, entryReg: EntryBundle, status: Status, commonIn: CommonInBundle, isEnq: Boolean)(implicit p: Parameters, params: IssueBlockParams) = {
234aa2bcc31SzhanglyGit    val hasIQWakeupGet                                 = hasIQWakeup.getOrElse(0.U.asTypeOf(new CommonIQWakeupBundle))
235eea4a3caSzhanglyGit    val cancelByLd                                     = common.srcCancelVec.asUInt.orR
236aa2bcc31SzhanglyGit    val cancelWhenWakeup                               = VecInit(hasIQWakeupGet.srcWakeupByIQButCancel.map(_.asUInt.orR)).asUInt.orR
237f08a822fSzhanglyGit    val respIssueFail                                  = commonIn.issueResp.valid && RespType.isBlocked(commonIn.issueResp.bits.resp)
238397c0f33Ssinsanction    entryUpdate.status.robIdx                         := status.robIdx
239397c0f33Ssinsanction    entryUpdate.status.fuType                         := IQFuType.readFuType(status.fuType, params.getFuCfgs.map(_.fuType))
240397c0f33Ssinsanction    entryUpdate.status.srcStatus.zip(status.srcStatus).zipWithIndex.foreach { case ((srcStatusNext, srcStatus), srcIdx) =>
241eea4a3caSzhanglyGit      val cancel = common.srcCancelVec(srcIdx)
242aa2bcc31SzhanglyGit      val wakeupByIQ = hasIQWakeupGet.srcWakeupByIQ(srcIdx).asUInt.orR
243aa2bcc31SzhanglyGit      val wakeupByIQOH = hasIQWakeupGet.srcWakeupByIQ(srcIdx)
244aa2bcc31SzhanglyGit      val wakeup = common.srcWakeup(srcIdx)
245aa2bcc31SzhanglyGit      srcStatusNext.psrc                              := srcStatus.psrc
246aa2bcc31SzhanglyGit      srcStatusNext.srcType                           := srcStatus.srcType
247aa2bcc31SzhanglyGit      srcStatusNext.srcState                          := Mux(cancel, false.B, wakeup | srcStatus.srcState)
2484fa640e4Ssinsanction      srcStatusNext.dataSources.value                 := (if (params.inVfSchd && params.readVfRf && params.hasIQWakeUp) {
249c4cabf18Ssinsanction                                                            // Vf / Mem -> Vf
250de111a36Ssinsanction                                                            val isWakeupByMemIQ = wakeupByIQOH.zip(commonIn.wakeUpFromIQ).filter(_._2.bits.params.isMemExeUnit).map(_._1).fold(false.B)(_ || _)
251c4cabf18Ssinsanction                                                            MuxCase(srcStatus.dataSources.value, Seq(
252c4cabf18Ssinsanction                                                              (wakeupByIQ && isWakeupByMemIQ)    -> DataSource.bypass2,
253c4cabf18Ssinsanction                                                              (wakeupByIQ && !isWakeupByMemIQ)   -> DataSource.bypass,
254c4cabf18Ssinsanction                                                              srcStatus.dataSources.readBypass   -> DataSource.bypass2,
255c4cabf18Ssinsanction                                                              srcStatus.dataSources.readBypass2  -> DataSource.reg,
256c4cabf18Ssinsanction                                                            ))
2574fa640e4Ssinsanction                                                          }
258a75d561cSsinsanction                                                          else if (params.inMemSchd && params.readVfRf && params.hasIQWakeUp) {
259c4cabf18Ssinsanction                                                            // Vf / Int -> Mem
260c4cabf18Ssinsanction                                                            MuxCase(srcStatus.dataSources.value, Seq(
261c4cabf18Ssinsanction                                                              wakeupByIQ                                                               -> DataSource.bypass,
2622734c4a6Sxiao feibao                                                              (srcStatus.dataSources.readBypass && wakeUpByVf(srcStatus.srcWakeUpL1ExuOH.get)) -> DataSource.bypass2,
2632734c4a6Sxiao feibao                                                              (srcStatus.dataSources.readBypass && !wakeUpByVf(srcStatus.srcWakeUpL1ExuOH.get)) -> DataSource.reg,
264c4cabf18Ssinsanction                                                              srcStatus.dataSources.readBypass2                                        -> DataSource.reg,
265c4cabf18Ssinsanction                                                            ))
266a75d561cSsinsanction                                                          }
267c4cabf18Ssinsanction                                                          else {
268c4cabf18Ssinsanction                                                            MuxCase(srcStatus.dataSources.value, Seq(
269c4cabf18Ssinsanction                                                              wakeupByIQ                         -> DataSource.bypass,
270c4cabf18Ssinsanction                                                              srcStatus.dataSources.readBypass   -> DataSource.reg,
271c4cabf18Ssinsanction                                                            ))
272c4cabf18Ssinsanction                                                          })
273aa2bcc31SzhanglyGit      if(params.hasIQWakeUp) {
274*ec49b127Ssinsanction        ExuOHGen(srcStatusNext.srcWakeUpL1ExuOH.get, wakeupByIQOH, hasIQWakeupGet.srcWakeupL1ExuOH(srcIdx))
275*ec49b127Ssinsanction        srcStatusNext.srcLoadDependency               := Mux(wakeupByIQ,
276aa2bcc31SzhanglyGit                                                            Mux1H(wakeupByIQOH, hasIQWakeupGet.shiftedWakeupLoadDependencyByIQVec),
277*ec49b127Ssinsanction                                                            common.srcLoadDependencyNext(srcIdx))
278eea4a3caSzhanglyGit      } else {
279*ec49b127Ssinsanction        srcStatusNext.srcLoadDependency               := common.srcLoadDependencyNext(srcIdx)
280aa2bcc31SzhanglyGit      }
281aa2bcc31SzhanglyGit    }
282397c0f33Ssinsanction    entryUpdate.status.blocked                        := false.B
283397c0f33Ssinsanction    entryUpdate.status.issued                         := MuxCase(status.issued, Seq(
284aa2bcc31SzhanglyGit      (cancelByLd || cancelWhenWakeup || respIssueFail) -> false.B,
285aa2bcc31SzhanglyGit      commonIn.deqSel                                   -> true.B,
286aa2bcc31SzhanglyGit      !status.srcReady                                  -> false.B,
287aa2bcc31SzhanglyGit    ))
288397c0f33Ssinsanction    entryUpdate.status.firstIssue                     := commonIn.deqSel || status.firstIssue
289c38df446SzhanglyGit    entryUpdate.status.issueTimer                     := Mux(commonIn.deqSel, 0.U, Mux(status.issued, status.issueTimer + 1.U, "b11".U))
290397c0f33Ssinsanction    entryUpdate.status.deqPortIdx                     := Mux(commonIn.deqSel, commonIn.deqPortIdxWrite, Mux(status.issued, status.deqPortIdx, 0.U))
291397c0f33Ssinsanction    entryUpdate.imm.foreach(_                         := entryReg.imm.get)
292397c0f33Ssinsanction    entryUpdate.payload                               := entryReg.payload
293397c0f33Ssinsanction    if (params.isVecMemIQ) {
294397c0f33Ssinsanction      entryUpdate.status.vecMem.get := entryReg.status.vecMem.get
295397c0f33Ssinsanction    }
296aa2bcc31SzhanglyGit  }
297aa2bcc31SzhanglyGit
298df26db8aSsinsanction  def CommonOutConnect(commonOut: CommonOutBundle, common: CommonWireBundle, hasIQWakeup: Option[CommonIQWakeupBundle], validReg: Bool, entryUpdate: EntryBundle, entryReg: EntryBundle, status: Status, commonIn: CommonInBundle, isEnq: Boolean, isComp: Boolean)(implicit p: Parameters, params: IssueBlockParams) = {
299aa2bcc31SzhanglyGit    val hasIQWakeupGet                                 = hasIQWakeup.getOrElse(0.U.asTypeOf(new CommonIQWakeupBundle))
300aa2bcc31SzhanglyGit    commonOut.valid                                   := validReg
301df26db8aSsinsanction    commonOut.canIssue                                := (if (isComp) (common.canIssue || hasIQWakeupGet.canIssueBypass) && !common.flushed
302df26db8aSsinsanction                                                          else common.canIssue && !common.flushed)
303aa2bcc31SzhanglyGit    commonOut.fuType                                  := IQFuType.readFuType(status.fuType, params.getFuCfgs.map(_.fuType)).asUInt
304aa2bcc31SzhanglyGit    commonOut.robIdx                                  := status.robIdx
305aa2bcc31SzhanglyGit    commonOut.dataSource.zipWithIndex.foreach{ case (dataSourceOut, srcIdx) =>
306de111a36Ssinsanction      val wakeupByIQWithoutCancel = hasIQWakeupGet.srcWakeupByIQWithoutCancel(srcIdx).asUInt.orR
307de111a36Ssinsanction      val wakeupByIQWithoutCancelOH = hasIQWakeupGet.srcWakeupByIQWithoutCancel(srcIdx)
308de111a36Ssinsanction      val isWakeupByMemIQ = wakeupByIQWithoutCancelOH.zip(commonIn.wakeUpFromIQ).filter(_._2.bits.params.isMemExeUnit).map(_._1).fold(false.B)(_ || _)
309*ec49b127Ssinsanction      dataSourceOut.value                             := (if (isComp)
310*ec49b127Ssinsanction                                                            if (params.inVfSchd && params.readVfRf && params.hasWakeupFromMem) {
311*ec49b127Ssinsanction                                                              MuxCase(status.srcStatus(srcIdx).dataSources.value, Seq(
312*ec49b127Ssinsanction                                                                (wakeupByIQWithoutCancel && !isWakeupByMemIQ)  -> DataSource.forward,
313*ec49b127Ssinsanction                                                                (wakeupByIQWithoutCancel && isWakeupByMemIQ)   -> DataSource.bypass,
314*ec49b127Ssinsanction                                                              ))
315*ec49b127Ssinsanction                                                            } else {
316*ec49b127Ssinsanction                                                              MuxCase(status.srcStatus(srcIdx).dataSources.value, Seq(
317*ec49b127Ssinsanction                                                                wakeupByIQWithoutCancel                        -> DataSource.forward,
318*ec49b127Ssinsanction                                                              ))
319*ec49b127Ssinsanction                                                            }
320*ec49b127Ssinsanction                                                          else
321de111a36Ssinsanction                                                            status.srcStatus(srcIdx).dataSources.value)
322aa2bcc31SzhanglyGit    }
323aa2bcc31SzhanglyGit    commonOut.isFirstIssue                            := !status.firstIssue
324aa2bcc31SzhanglyGit    commonOut.entry.valid                             := validReg
325aa2bcc31SzhanglyGit    commonOut.entry.bits                              := entryReg
326aa2bcc31SzhanglyGit    if(isEnq) {
327aa2bcc31SzhanglyGit      commonOut.entry.bits.status                     := status
328aa2bcc31SzhanglyGit    }
329aa2bcc31SzhanglyGit    commonOut.issueTimerRead                          := status.issueTimer
330aa2bcc31SzhanglyGit    commonOut.deqPortIdxRead                          := status.deqPortIdx
331*ec49b127Ssinsanction
332*ec49b127Ssinsanction    if(params.hasIQWakeUp) {
333*ec49b127Ssinsanction      commonOut.srcWakeUpL1ExuOH.get.zipWithIndex.foreach{ case (exuOHOut, srcIdx) =>
334*ec49b127Ssinsanction        val wakeupByIQWithoutCancelOH = hasIQWakeupGet.srcWakeupByIQWithoutCancel(srcIdx)
335*ec49b127Ssinsanction        if (isComp)
336*ec49b127Ssinsanction          ExuOHGen(exuOHOut, wakeupByIQWithoutCancelOH, hasIQWakeupGet.srcWakeupL1ExuOH(srcIdx))
337*ec49b127Ssinsanction        else
338*ec49b127Ssinsanction          ExuOHGen(exuOHOut, 0.U.asTypeOf(wakeupByIQWithoutCancelOH), hasIQWakeupGet.srcWakeupL1ExuOH(srcIdx))
339*ec49b127Ssinsanction      }
340*ec49b127Ssinsanction    }
341*ec49b127Ssinsanction
342*ec49b127Ssinsanction    val srcLoadDependencyForCancel                     = Wire(chiselTypeOf(common.srcLoadDependencyNext))
343*ec49b127Ssinsanction    val srcLoadDependencyOut                           = Wire(chiselTypeOf(common.srcLoadDependencyNext))
344aa2bcc31SzhanglyGit    if(params.hasIQWakeUp) {
345a4d38a63SzhanglyGit      val wakeupSrcLoadDependency                      = hasIQWakeupGet.srcWakeupByIQWithoutCancel.map(x => Mux1H(x, hasIQWakeupGet.wakeupLoadDependencyByIQVec))
346*ec49b127Ssinsanction      val wakeupSrcLoadDependencyNext                  = hasIQWakeupGet.srcWakeupByIQWithoutCancel.map(x => Mux1H(x, hasIQWakeupGet.shiftedWakeupLoadDependencyByIQVec))
347*ec49b127Ssinsanction      srcLoadDependencyForCancel.zipWithIndex.foreach { case (ldOut, srcIdx) =>
348*ec49b127Ssinsanction        ldOut                                         := (if (isComp) Mux(hasIQWakeupGet.srcWakeupByIQWithoutCancel(srcIdx).asUInt.orR,
349*ec49b127Ssinsanction                                                                      wakeupSrcLoadDependency(srcIdx),
350eea4a3caSzhanglyGit                                                                      status.srcStatus(srcIdx).srcLoadDependency)
351eea4a3caSzhanglyGit                                                          else status.srcStatus(srcIdx).srcLoadDependency)
352aa2bcc31SzhanglyGit      }
353*ec49b127Ssinsanction      srcLoadDependencyOut.zipWithIndex.foreach { case (ldOut, srcIdx) =>
354*ec49b127Ssinsanction        ldOut                                         := (if (isComp) Mux(hasIQWakeupGet.srcWakeupByIQWithoutCancel(srcIdx).asUInt.orR,
355*ec49b127Ssinsanction                                                                      wakeupSrcLoadDependencyNext(srcIdx),
356*ec49b127Ssinsanction                                                                      common.srcLoadDependencyNext(srcIdx))
357*ec49b127Ssinsanction                                                          else common.srcLoadDependencyNext(srcIdx))
358*ec49b127Ssinsanction      }
359eea4a3caSzhanglyGit    } else {
360*ec49b127Ssinsanction      srcLoadDependencyForCancel                      := status.srcStatus.map(_.srcLoadDependency)
361*ec49b127Ssinsanction      srcLoadDependencyOut                            := common.srcLoadDependencyNext
362eea4a3caSzhanglyGit    }
363*ec49b127Ssinsanction    commonOut.cancelBypass                            := srcLoadDependencyForCancel.map(x => LoadShouldCancel(Some(x), commonIn.ldCancel)).reduce(_ | _)
364*ec49b127Ssinsanction    commonOut.entry.bits.status.srcStatus.map(_.srcLoadDependency).zipWithIndex.foreach { case (ldOut, srcIdx) =>
365*ec49b127Ssinsanction      ldOut                                           := srcLoadDependencyOut(srcIdx)
366eea4a3caSzhanglyGit    }
367*ec49b127Ssinsanction
368397c0f33Ssinsanction    commonOut.enqReady                                := common.enqReady
369397c0f33Ssinsanction    commonOut.transEntry.valid                        := validReg && !common.flushed && !common.deqSuccess
370397c0f33Ssinsanction    commonOut.transEntry.bits                         := entryUpdate
371a6938b17Ssinsanction    // debug
372a6938b17Ssinsanction    commonOut.entryInValid                            := commonIn.enq.valid
373a6938b17Ssinsanction    commonOut.entryOutDeqValid                        := validReg && (common.flushed || common.deqSuccess)
374a6938b17Ssinsanction    commonOut.entryOutTransValid                      := validReg && commonIn.transSel && !(common.flushed || common.deqSuccess)
375e3ef3537Ssinsanction    commonOut.perfWakeupByWB                          := common.srcWakeupByWB.zip(status.srcStatus).map{ case (w, s) => w && SrcState.isBusy(s.srcState) && validReg }
376e3ef3537Ssinsanction    if (params.hasIQWakeUp) {
377*ec49b127Ssinsanction      commonOut.perfLdCancel.get                      := common.srcCancelVec.map(_ && validReg)
378e3ef3537Ssinsanction      commonOut.perfOg0Cancel.get                     := hasIQWakeupGet.srcWakeupByIQButCancel.map(_.asUInt.orR && validReg)
379e3ef3537Ssinsanction      commonOut.perfWakeupByIQ.get                    := hasIQWakeupGet.srcWakeupByIQ.map(x => VecInit(x.map(_ && validReg)))
380e3ef3537Ssinsanction    }
381e3ef3537Ssinsanction    // vecMem
382aa2bcc31SzhanglyGit    if (params.isVecMemIQ) {
38399944b79Ssinsanction      commonOut.uopIdx.get                            := entryReg.payload.uopIdx
384aa2bcc31SzhanglyGit    }
385aa2bcc31SzhanglyGit  }
386aa2bcc31SzhanglyGit
387e07131b2Ssinsanction  def EntryVecMemConnect(commonIn: CommonInBundle, common: CommonWireBundle, validReg: Bool, entryReg: EntryBundle, entryRegNext: EntryBundle, entryUpdate: EntryBundle)(implicit p: Parameters, params: IssueBlockParams) = {
38899944b79Ssinsanction    val fromLsq                                        = commonIn.fromLsq.get
38999944b79Ssinsanction    val vecMemStatus                                   = entryReg.status.vecMem.get
39099944b79Ssinsanction    val vecMemStatusUpdate                             = entryUpdate.status.vecMem.get
39199944b79Ssinsanction    vecMemStatusUpdate                                := vecMemStatus
39299944b79Ssinsanction
393e07131b2Ssinsanction    val isLsqHead = {
394e07131b2Ssinsanction      entryReg.status.vecMem.get.lqIdx <= fromLsq.lqDeqPtr &&
395e07131b2Ssinsanction      entryReg.status.vecMem.get.sqIdx <= fromLsq.sqDeqPtr
39699944b79Ssinsanction    }
39799944b79Ssinsanction
398e07131b2Ssinsanction    // update blocked
399e07131b2Ssinsanction    entryUpdate.status.blocked                        := !isLsqHead
40099944b79Ssinsanction  }
40199944b79Ssinsanction
402*ec49b127Ssinsanction  def ExuOHGen(exuOH: Vec[Bool], wakeupByIQOH: Vec[Bool], regSrcExuOH: Vec[Bool])(implicit p: Parameters, params: IssueBlockParams) = {
403aa2bcc31SzhanglyGit    val origExuOH = 0.U.asTypeOf(exuOH)
404aa2bcc31SzhanglyGit    when(wakeupByIQOH.asUInt.orR) {
405aa2bcc31SzhanglyGit      origExuOH := Mux1H(wakeupByIQOH, params.wakeUpSourceExuIdx.map(x => MathUtils.IntToOH(x).U(p(XSCoreParamsKey).backendParams.numExu.W)).toSeq).asBools
406aa2bcc31SzhanglyGit    }.otherwise {
407aa2bcc31SzhanglyGit      origExuOH := regSrcExuOH
408aa2bcc31SzhanglyGit    }
409aa2bcc31SzhanglyGit    exuOH := 0.U.asTypeOf(exuOH)
410aa2bcc31SzhanglyGit    params.wakeUpSourceExuIdx.foreach(x => exuOH(x) := origExuOH(x))
411aa2bcc31SzhanglyGit  }
412aa2bcc31SzhanglyGit
413aa2bcc31SzhanglyGit  object IQFuType {
414aa2bcc31SzhanglyGit    def num = FuType.num
415aa2bcc31SzhanglyGit
416aa2bcc31SzhanglyGit    def apply() = Vec(num, Bool())
417aa2bcc31SzhanglyGit
418aa2bcc31SzhanglyGit    def readFuType(fuType: Vec[Bool], fus: Seq[FuType.OHType]): Vec[Bool] = {
419aa2bcc31SzhanglyGit      val res = 0.U.asTypeOf(fuType)
420aa2bcc31SzhanglyGit      fus.foreach(x => res(x.id) := fuType(x.id))
421aa2bcc31SzhanglyGit      res
422aa2bcc31SzhanglyGit    }
423aa2bcc31SzhanglyGit  }
4244fa640e4Ssinsanction
4254fa640e4Ssinsanction  class EnqDelayInBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
4264fa640e4Ssinsanction    //wakeup
4274fa640e4Ssinsanction    val wakeUpFromWB: MixedVec[ValidIO[IssueQueueWBWakeUpBundle]] = Flipped(params.genWBWakeUpSinkValidBundle)
4284fa640e4Ssinsanction    val wakeUpFromIQ: MixedVec[ValidIO[IssueQueueIQWakeUpBundle]] = Flipped(params.genIQWakeUpSinkValidBundle)
4294fa640e4Ssinsanction    //cancel
4304fa640e4Ssinsanction    val og0Cancel             = Input(ExuOH(backendParams.numExu))
4314fa640e4Ssinsanction    val ldCancel              = Vec(backendParams.LdExuCnt, Flipped(new LoadCancelIO))
4324fa640e4Ssinsanction  }
4334fa640e4Ssinsanction
4344fa640e4Ssinsanction  class EnqDelayOutBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
4354fa640e4Ssinsanction    val srcWakeUpByWB: Vec[UInt]                            = Vec(params.numRegSrc, SrcState())
4364fa640e4Ssinsanction    val srcWakeUpByIQ: Vec[UInt]                            = Vec(params.numRegSrc, SrcState())
4374fa640e4Ssinsanction    val srcWakeUpByIQVec: Vec[Vec[Bool]]                    = Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool()))
438*ec49b127Ssinsanction    val shiftedWakeupLoadDependencyByIQVec: Vec[Vec[UInt]]  = Vec(params.numWakeupFromIQ, Vec(LoadPipelineWidth, UInt(LoadDependencyWidth.W)))
4394fa640e4Ssinsanction  }
4404fa640e4Ssinsanction
4414fa640e4Ssinsanction  def EnqDelayWakeupConnect(enqDelayIn: EnqDelayInBundle, enqDelayOut: EnqDelayOutBundle, status: Status, delay: Int)(implicit p: Parameters, params: IssueBlockParams) = {
4424fa640e4Ssinsanction    enqDelayOut.srcWakeUpByWB.zipWithIndex.foreach { case (wakeup, i) =>
4434fa640e4Ssinsanction      wakeup := enqDelayIn.wakeUpFromWB.map(x => x.bits.wakeUp(Seq((status.srcStatus(i).psrc, status.srcStatus(i).srcType)), x.valid).head
4444fa640e4Ssinsanction      ).reduce(_ || _)
4454fa640e4Ssinsanction    }
4464fa640e4Ssinsanction
4474fa640e4Ssinsanction    if (params.hasIQWakeUp) {
4484fa640e4Ssinsanction      val wakeupVec: IndexedSeq[IndexedSeq[Bool]] = enqDelayIn.wakeUpFromIQ.map( x =>
4494fa640e4Ssinsanction        x.bits.wakeUpFromIQ(status.srcStatus.map(_.psrc) zip status.srcStatus.map(_.srcType))
4504fa640e4Ssinsanction      ).toIndexedSeq.transpose
4514fa640e4Ssinsanction      val cancelSel = params.wakeUpSourceExuIdx.zip(enqDelayIn.wakeUpFromIQ).map{ case (x, y) => enqDelayIn.og0Cancel(x) && y.bits.is0Lat}
4524fa640e4Ssinsanction      enqDelayOut.srcWakeUpByIQVec := wakeupVec.map(x => VecInit(x.zip(cancelSel).map { case (wakeup, cancel) => wakeup && !cancel }))
4534fa640e4Ssinsanction    } else {
4544fa640e4Ssinsanction      enqDelayOut.srcWakeUpByIQVec := 0.U.asTypeOf(enqDelayOut.srcWakeUpByIQVec)
4554fa640e4Ssinsanction    }
4564fa640e4Ssinsanction
4574fa640e4Ssinsanction    if (params.hasIQWakeUp) {
4584fa640e4Ssinsanction      enqDelayOut.srcWakeUpByIQ.zipWithIndex.foreach { case (wakeup, i) =>
4594fa640e4Ssinsanction        val ldTransCancel = Mux1H(enqDelayOut.srcWakeUpByIQVec(i), enqDelayIn.wakeUpFromIQ.map(_.bits.loadDependency).map(dp => LoadShouldCancel(Some(dp), enqDelayIn.ldCancel)).toSeq)
4604fa640e4Ssinsanction        wakeup := enqDelayOut.srcWakeUpByIQVec(i).asUInt.orR && !ldTransCancel
4614fa640e4Ssinsanction      }
4624fa640e4Ssinsanction    } else {
4634fa640e4Ssinsanction      enqDelayOut.srcWakeUpByIQ := 0.U.asTypeOf(enqDelayOut.srcWakeUpByIQ)
4644fa640e4Ssinsanction    }
4654fa640e4Ssinsanction
4664fa640e4Ssinsanction    enqDelayOut.shiftedWakeupLoadDependencyByIQVec.zip(enqDelayIn.wakeUpFromIQ.map(_.bits.loadDependency))
4674fa640e4Ssinsanction      .zip(params.wakeUpInExuSources.map(_.name)).foreach { case ((dps, ldps), name) =>
4684fa640e4Ssinsanction      dps.zip(ldps).zipWithIndex.foreach { case ((dp, ldp), deqPortIdx) =>
4694fa640e4Ssinsanction        if (params.backendParam.getLdExuIdx(params.backendParam.allExuParams.find(_.name == name).get) == deqPortIdx)
470*ec49b127Ssinsanction          dp := 1.U << (delay - 1)
4714fa640e4Ssinsanction        else
4724fa640e4Ssinsanction          dp := ldp << delay
4734fa640e4Ssinsanction      }
4744fa640e4Ssinsanction    }
4754fa640e4Ssinsanction  }
476aa2bcc31SzhanglyGit}
477