xref: /XiangShan/src/main/scala/xiangshan/backend/issue/EntryBundles.scala (revision de4e991ce8d319a5c57f0521475db9a04c600e8b)
1aa2bcc31SzhanglyGitpackage xiangshan.backend.issue
2aa2bcc31SzhanglyGit
3aa2bcc31SzhanglyGitimport org.chipsalliance.cde.config.Parameters
4aa2bcc31SzhanglyGitimport chisel3._
5aa2bcc31SzhanglyGitimport chisel3.util._
6ac90e54aSxiaofeibao-xjtuimport ujson.IndexedValue.True
7bb2f3f51STang Haojinimport utils.MathUtils
8bb2f3f51STang Haojinimport utility.{HasCircularQueuePtrHelper, XSError}
9aa2bcc31SzhanglyGitimport xiangshan._
10aa2bcc31SzhanglyGitimport xiangshan.backend.Bundles._
11aa2bcc31SzhanglyGitimport xiangshan.backend.datapath.DataSource
12aa2bcc31SzhanglyGitimport xiangshan.backend.fu.FuType
136dbb4e08SXuan Huimport xiangshan.backend.fu.vector.Bundles.NumLsElem
14aa2bcc31SzhanglyGitimport xiangshan.backend.rob.RobPtr
156dbb4e08SXuan Huimport xiangshan.mem.{LqPtr, MemWaitUpdateReq, SqPtr}
16aa2bcc31SzhanglyGit
17aa2bcc31SzhanglyGitobject EntryBundles extends HasCircularQueuePtrHelper {
18aa2bcc31SzhanglyGit
19aa2bcc31SzhanglyGit  class Status(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
20aa2bcc31SzhanglyGit    //basic status
21aa2bcc31SzhanglyGit    val robIdx                = new RobPtr
22aa2bcc31SzhanglyGit    val fuType                = IQFuType()
23aa2bcc31SzhanglyGit    //src status
24aa2bcc31SzhanglyGit    val srcStatus             = Vec(params.numRegSrc, new SrcStatus)
25aa2bcc31SzhanglyGit    //issue status
26aa2bcc31SzhanglyGit    val blocked               = Bool()
27aa2bcc31SzhanglyGit    val issued                = Bool()
28aa2bcc31SzhanglyGit    val firstIssue            = Bool()
29aa2bcc31SzhanglyGit    val issueTimer            = UInt(2.W)
30aa2bcc31SzhanglyGit    val deqPortIdx            = UInt(1.W)
31aa2bcc31SzhanglyGit    //vector mem status
32bb2f3f51STang Haojin    val vecMem                = Option.when(params.isVecMemIQ)(new StatusVecMemPart)
33aa2bcc31SzhanglyGit
34aa2bcc31SzhanglyGit    def srcReady: Bool        = {
35aa2bcc31SzhanglyGit      VecInit(srcStatus.map(_.srcState).map(SrcState.isReady)).asUInt.andR
36aa2bcc31SzhanglyGit    }
37aa2bcc31SzhanglyGit
38aa2bcc31SzhanglyGit    def canIssue: Bool        = {
39aa2bcc31SzhanglyGit      srcReady && !issued && !blocked
40aa2bcc31SzhanglyGit    }
41aa2bcc31SzhanglyGit
42eea4a3caSzhanglyGit    def mergedLoadDependency: Vec[UInt] = {
43eea4a3caSzhanglyGit      srcStatus.map(_.srcLoadDependency).reduce({
44aa2bcc31SzhanglyGit        case (l: Vec[UInt], r: Vec[UInt]) => VecInit(l.zip(r).map(x => x._1 | x._2))
45eea4a3caSzhanglyGit      }: (Vec[UInt], Vec[UInt]) => Vec[UInt])
46aa2bcc31SzhanglyGit    }
47aa2bcc31SzhanglyGit  }
48aa2bcc31SzhanglyGit
49aa2bcc31SzhanglyGit  class SrcStatus(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
50aa2bcc31SzhanglyGit    val psrc                  = UInt(params.rdPregIdxWidth.W)
51aa2bcc31SzhanglyGit    val srcType               = SrcType()
52aa2bcc31SzhanglyGit    val srcState              = SrcState()
53aa2bcc31SzhanglyGit    val dataSources           = DataSource()
54ec49b127Ssinsanction    val srcLoadDependency     = Vec(LoadPipelineWidth, UInt(LoadDependencyWidth.W))
55bb2f3f51STang Haojin    val srcWakeUpL1ExuOH      = Option.when(params.hasIQWakeUp)(ExuVec())
564c2a845dSsinsanction    //reg cache
574c2a845dSsinsanction    val useRegCache           = Option.when(params.needReadRegCache)(Bool())
584c2a845dSsinsanction    val regCacheIdx           = Option.when(params.needReadRegCache)(UInt(RegCacheIdxWidth.W))
59aa2bcc31SzhanglyGit  }
60aa2bcc31SzhanglyGit
61aa2bcc31SzhanglyGit  class StatusVecMemPart(implicit p:Parameters, params: IssueBlockParams) extends Bundle {
62aa2bcc31SzhanglyGit    val sqIdx                 = new SqPtr
63aa2bcc31SzhanglyGit    val lqIdx                 = new LqPtr
646dbb4e08SXuan Hu    val numLsElem             = NumLsElem()
65aa2bcc31SzhanglyGit  }
66aa2bcc31SzhanglyGit
6738f78b5dSxiaofeibao-xjtu  class EntryDeqRespBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
68aa2bcc31SzhanglyGit    val robIdx                = new RobPtr
69f08a822fSzhanglyGit    val resp                  = RespType()
70aa2bcc31SzhanglyGit    val fuType                = FuType()
71bb2f3f51STang Haojin    val uopIdx                = Option.when(params.isVecMemIQ)(Output(UopIdx()))
72bb2f3f51STang Haojin    val sqIdx                 = Option.when(params.needFeedBackSqIdx)(new SqPtr())
73bb2f3f51STang Haojin    val lqIdx                 = Option.when(params.needFeedBackLqIdx)(new LqPtr())
74aa2bcc31SzhanglyGit  }
75aa2bcc31SzhanglyGit
76f08a822fSzhanglyGit  object RespType {
77f08a822fSzhanglyGit    def apply() = UInt(2.W)
78f08a822fSzhanglyGit
79f08a822fSzhanglyGit    def isBlocked(resp: UInt) = {
80f08a822fSzhanglyGit      resp === block
81f08a822fSzhanglyGit    }
82f08a822fSzhanglyGit
83f08a822fSzhanglyGit    def succeed(resp: UInt) = {
84f08a822fSzhanglyGit      resp === success
85f08a822fSzhanglyGit    }
86f08a822fSzhanglyGit
87f08a822fSzhanglyGit    val block = "b00".U
88f08a822fSzhanglyGit    val uncertain = "b01".U
89f08a822fSzhanglyGit    val success = "b11".U
90f08a822fSzhanglyGit  }
91f08a822fSzhanglyGit
92aa2bcc31SzhanglyGit  class EntryBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
93aa2bcc31SzhanglyGit    val status                = new Status()
94bb2f3f51STang Haojin    val imm                   = Option.when(params.needImm)(UInt((params.deqImmTypesMaxLen).W))
95aa2bcc31SzhanglyGit    val payload               = new DynInst()
96aa2bcc31SzhanglyGit  }
97aa2bcc31SzhanglyGit
98aa2bcc31SzhanglyGit  class CommonInBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
99aa2bcc31SzhanglyGit    val flush                 = Flipped(ValidIO(new Redirect))
100aa2bcc31SzhanglyGit    val enq                   = Flipped(ValidIO(new EntryBundle))
101aa2bcc31SzhanglyGit    //wakeup
102aa2bcc31SzhanglyGit    val wakeUpFromWB: MixedVec[ValidIO[IssueQueueWBWakeUpBundle]] = Flipped(params.genWBWakeUpSinkValidBundle)
103aa2bcc31SzhanglyGit    val wakeUpFromIQ: MixedVec[ValidIO[IssueQueueIQWakeUpBundle]] = Flipped(params.genIQWakeUpSinkValidBundle)
104b6279fc6SZiyue Zhang    // vl
105b6279fc6SZiyue Zhang    val vlIsZero              = Input(Bool())
106b6279fc6SZiyue Zhang    val vlIsVlmax             = Input(Bool())
107aa2bcc31SzhanglyGit    //cancel
108be9ff987Ssinsanction    val og0Cancel             = Input(ExuVec())
109be9ff987Ssinsanction    val og1Cancel             = Input(ExuVec())
110aa2bcc31SzhanglyGit    val ldCancel              = Vec(backendParams.LdExuCnt, Flipped(new LoadCancelIO))
111aa2bcc31SzhanglyGit    //deq sel
112aa2bcc31SzhanglyGit    val deqSel                = Input(Bool())
113aa2bcc31SzhanglyGit    val deqPortIdxWrite       = Input(UInt(1.W))
114aa2bcc31SzhanglyGit    val issueResp             = Flipped(ValidIO(new EntryDeqRespBundle))
115aa2bcc31SzhanglyGit    //trans sel
116aa2bcc31SzhanglyGit    val transSel              = Input(Bool())
117aa2bcc31SzhanglyGit    // vector mem only
118bb2f3f51STang Haojin    val fromLsq = Option.when(params.isVecMemIQ)(new Bundle {
119aa2bcc31SzhanglyGit      val sqDeqPtr            = Input(new SqPtr)
120aa2bcc31SzhanglyGit      val lqDeqPtr            = Input(new LqPtr)
121aa2bcc31SzhanglyGit    })
122aa2bcc31SzhanglyGit  }
123aa2bcc31SzhanglyGit
124aa2bcc31SzhanglyGit  class CommonOutBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
125aa2bcc31SzhanglyGit    //status
126aa2bcc31SzhanglyGit    val valid                 = Output(Bool())
127aa2bcc31SzhanglyGit    val canIssue              = Output(Bool())
128aa2bcc31SzhanglyGit    val fuType                = Output(FuType())
129aa2bcc31SzhanglyGit    val robIdx                = Output(new RobPtr)
130bb2f3f51STang Haojin    val uopIdx                = Option.when(params.isVecMemIQ)(Output(UopIdx()))
131aa2bcc31SzhanglyGit    //src
132aa2bcc31SzhanglyGit    val dataSource            = Vec(params.numRegSrc, Output(DataSource()))
133bb2f3f51STang Haojin    val srcWakeUpL1ExuOH      = Option.when(params.hasIQWakeUp)(Vec(params.numRegSrc, Output(ExuVec())))
134aa2bcc31SzhanglyGit    //deq
135aa2bcc31SzhanglyGit    val isFirstIssue          = Output(Bool())
136aa2bcc31SzhanglyGit    val entry                 = ValidIO(new EntryBundle)
137ec49b127Ssinsanction    val cancelBypass          = Output(Bool())
138aa2bcc31SzhanglyGit    val deqPortIdxRead        = Output(UInt(1.W))
139aa2bcc31SzhanglyGit    val issueTimerRead        = Output(UInt(2.W))
140397c0f33Ssinsanction    //trans
141397c0f33Ssinsanction    val enqReady              = Output(Bool())
142397c0f33Ssinsanction    val transEntry            = ValidIO(new EntryBundle)
143aa2bcc31SzhanglyGit    // debug
144a6938b17Ssinsanction    val entryInValid          = Output(Bool())
145a6938b17Ssinsanction    val entryOutDeqValid      = Output(Bool())
146a6938b17Ssinsanction    val entryOutTransValid    = Output(Bool())
147bb2f3f51STang Haojin    val perfLdCancel          = Option.when(params.hasIQWakeUp)(Output(Vec(params.numRegSrc, Bool())))
148bb2f3f51STang Haojin    val perfOg0Cancel         = Option.when(params.hasIQWakeUp)(Output(Vec(params.numRegSrc, Bool())))
149e3ef3537Ssinsanction    val perfWakeupByWB        = Output(Vec(params.numRegSrc, Bool()))
150bb2f3f51STang Haojin    val perfWakeupByIQ        = Option.when(params.hasIQWakeUp)(Output(Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool()))))
151aa2bcc31SzhanglyGit  }
152aa2bcc31SzhanglyGit
153aa2bcc31SzhanglyGit  class CommonWireBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
154aa2bcc31SzhanglyGit    val validRegNext          = Bool()
155aa2bcc31SzhanglyGit    val flushed               = Bool()
156aa2bcc31SzhanglyGit    val clear                 = Bool()
157aa2bcc31SzhanglyGit    val canIssue              = Bool()
158aa2bcc31SzhanglyGit    val enqReady              = Bool()
159aa2bcc31SzhanglyGit    val deqSuccess            = Bool()
160aa2bcc31SzhanglyGit    val srcWakeup             = Vec(params.numRegSrc, Bool())
161aa2bcc31SzhanglyGit    val srcWakeupByWB         = Vec(params.numRegSrc, Bool())
162b6279fc6SZiyue Zhang    val vlWakeupByWb          = Bool()
163eea4a3caSzhanglyGit    val srcCancelVec          = Vec(params.numRegSrc, Bool())
164eea4a3caSzhanglyGit    val srcLoadCancelVec      = Vec(params.numRegSrc, Bool())
165ec49b127Ssinsanction    val srcLoadDependencyNext = Vec(params.numRegSrc, Vec(LoadPipelineWidth, UInt(LoadDependencyWidth.W)))
166aa2bcc31SzhanglyGit  }
167aa2bcc31SzhanglyGit
1680dfdb52aSzhanglyGit  def CommonWireConnect(common: CommonWireBundle, hasIQWakeup: Option[CommonIQWakeupBundle], validReg: Bool, status: Status, commonIn: CommonInBundle, isEnq: Boolean)(implicit p: Parameters, params: IssueBlockParams) = {
169aa2bcc31SzhanglyGit    val hasIQWakeupGet        = hasIQWakeup.getOrElse(0.U.asTypeOf(new CommonIQWakeupBundle))
1700dfdb52aSzhanglyGit    common.flushed            := status.robIdx.needFlush(commonIn.flush)
171ac90e54aSxiaofeibao-xjtu    common.deqSuccess         := (if (params.isVecMemIQ) status.issued else true.B) &&
172ac90e54aSxiaofeibao-xjtu      commonIn.issueResp.valid && RespType.succeed(commonIn.issueResp.bits.resp) && !common.srcLoadCancelVec.asUInt.orR
173aa2bcc31SzhanglyGit    common.srcWakeup          := common.srcWakeupByWB.zip(hasIQWakeupGet.srcWakeupByIQ).map { case (x, y) => x || y.asUInt.orR }
1748dd32220Ssinsanction    common.srcWakeupByWB      := commonIn.wakeUpFromWB.map{ bundle =>
1758dd32220Ssinsanction                                    val psrcSrcTypeVec = status.srcStatus.map(_.psrc) zip status.srcStatus.map(_.srcType)
1768dd32220Ssinsanction                                    if (params.numRegSrc == 5) {
1778dd32220Ssinsanction                                      bundle.bits.wakeUp(psrcSrcTypeVec.take(3), bundle.valid) :+
1788dd32220Ssinsanction                                      bundle.bits.wakeUpV0(psrcSrcTypeVec(3), bundle.valid) :+
1798dd32220Ssinsanction                                      bundle.bits.wakeUpVl(psrcSrcTypeVec(4), bundle.valid)
1808dd32220Ssinsanction                                    }
1818dd32220Ssinsanction                                    else
1828dd32220Ssinsanction                                      bundle.bits.wakeUp(psrcSrcTypeVec, bundle.valid)
1838dd32220Ssinsanction                                 }.transpose.map(x => VecInit(x.toSeq).asUInt.orR).toSeq
184a4d38a63SzhanglyGit    common.canIssue           := validReg && status.canIssue
185aa2bcc31SzhanglyGit    common.enqReady           := !validReg || common.clear
18628607074Ssinsanction    common.clear              := common.flushed || common.deqSuccess || commonIn.transSel
187eea4a3caSzhanglyGit    common.srcCancelVec.zip(common.srcLoadCancelVec).zip(hasIQWakeupGet.srcWakeupByIQWithoutCancel).zipWithIndex.foreach { case (((srcCancel, srcLoadCancel), wakeUpByIQVec), srcIdx) =>
188eea4a3caSzhanglyGit      val ldTransCancel = if(params.hasIQWakeUp) Mux1H(wakeUpByIQVec, hasIQWakeupGet.wakeupLoadDependencyByIQVec.map(dep => LoadShouldCancel(Some(dep), commonIn.ldCancel))) else false.B
189eea4a3caSzhanglyGit      srcLoadCancel := LoadShouldCancel(Some(status.srcStatus(srcIdx).srcLoadDependency), commonIn.ldCancel)
190eea4a3caSzhanglyGit      srcCancel := srcLoadCancel || ldTransCancel
191eea4a3caSzhanglyGit    }
192ec49b127Ssinsanction    common.srcLoadDependencyNext.zip(status.srcStatus.map(_.srcLoadDependency)).foreach { case (ldsNext, lds) =>
193ec49b127Ssinsanction      ldsNext.zip(lds).foreach{ case (ldNext, ld) => ldNext := ld << 1 }
194eea4a3caSzhanglyGit    }
195aa2bcc31SzhanglyGit    if(isEnq) {
196aa2bcc31SzhanglyGit      common.validRegNext     := Mux(commonIn.enq.valid && common.enqReady, true.B, Mux(common.clear, false.B, validReg))
197aa2bcc31SzhanglyGit    } else {
19828607074Ssinsanction      common.validRegNext     := Mux(commonIn.enq.valid, true.B, Mux(common.clear, false.B, validReg))
199aa2bcc31SzhanglyGit    }
200b6279fc6SZiyue Zhang    if (params.numRegSrc == 5) {
201b6279fc6SZiyue Zhang      // only when numRegSrc == 5 need vl
202b6279fc6SZiyue Zhang      common.vlWakeupByWb     := common.srcWakeupByWB(4)
203b6279fc6SZiyue Zhang    } else {
204b6279fc6SZiyue Zhang      common.vlWakeupByWb     := false.B
205b6279fc6SZiyue Zhang    }
206aa2bcc31SzhanglyGit  }
207aa2bcc31SzhanglyGit
208aa2bcc31SzhanglyGit  class CommonIQWakeupBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
209aa2bcc31SzhanglyGit    val srcWakeupByIQ                             = Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool()))
210aa2bcc31SzhanglyGit    val srcWakeupByIQWithoutCancel                = Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool()))
211aa2bcc31SzhanglyGit    val srcWakeupByIQButCancel                    = Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool()))
212ec49b127Ssinsanction    val srcWakeupL1ExuOH                          = Vec(params.numRegSrc, ExuVec())
213ec49b127Ssinsanction    val wakeupLoadDependencyByIQVec               = Vec(params.numWakeupFromIQ, Vec(LoadPipelineWidth, UInt(LoadDependencyWidth.W)))
214ec49b127Ssinsanction    val shiftedWakeupLoadDependencyByIQVec        = Vec(params.numWakeupFromIQ, Vec(LoadPipelineWidth, UInt(LoadDependencyWidth.W)))
215aa2bcc31SzhanglyGit    val canIssueBypass                            = Bool()
216aa2bcc31SzhanglyGit  }
217aa2bcc31SzhanglyGit
218aa2bcc31SzhanglyGit  def CommonIQWakeupConnect(common: CommonWireBundle, hasIQWakeupGet: CommonIQWakeupBundle, validReg: Bool, status: Status, commonIn: CommonInBundle, isEnq: Boolean)(implicit p: Parameters, params: IssueBlockParams) = {
2198dd32220Ssinsanction    val wakeupVec: Seq[Seq[Bool]] = commonIn.wakeUpFromIQ.map{(bundle: ValidIO[IssueQueueIQWakeUpBundle]) =>
2208dd32220Ssinsanction      val psrcSrcTypeVec = status.srcStatus.map(_.psrc) zip status.srcStatus.map(_.srcType)
2218dd32220Ssinsanction      if (params.numRegSrc == 5) {
2228dd32220Ssinsanction        bundle.bits.wakeUpFromIQ(psrcSrcTypeVec.take(3)) :+
2238dd32220Ssinsanction        bundle.bits.wakeUpV0FromIQ(psrcSrcTypeVec(3)) :+
2248dd32220Ssinsanction        bundle.bits.wakeUpVlFromIQ(psrcSrcTypeVec(4))
2258dd32220Ssinsanction      }
2268dd32220Ssinsanction      else
2278dd32220Ssinsanction        bundle.bits.wakeUpFromIQ(psrcSrcTypeVec)
2288dd32220Ssinsanction    }.toSeq.transpose
229aa2bcc31SzhanglyGit    val cancelSel = params.wakeUpSourceExuIdx.zip(commonIn.wakeUpFromIQ).map { case (x, y) => commonIn.og0Cancel(x) && y.bits.is0Lat }
230aa2bcc31SzhanglyGit
231aa2bcc31SzhanglyGit    hasIQWakeupGet.srcWakeupByIQ                    := wakeupVec.map(x => VecInit(x.zip(cancelSel).map { case (wakeup, cancel) => wakeup && !cancel }))
232aa2bcc31SzhanglyGit    hasIQWakeupGet.srcWakeupByIQButCancel           := wakeupVec.map(x => VecInit(x.zip(cancelSel).map { case (wakeup, cancel) => wakeup && cancel }))
233aa2bcc31SzhanglyGit    hasIQWakeupGet.srcWakeupByIQWithoutCancel       := wakeupVec.map(x => VecInit(x))
234aa2bcc31SzhanglyGit    hasIQWakeupGet.wakeupLoadDependencyByIQVec      := commonIn.wakeUpFromIQ.map(_.bits.loadDependency).toSeq
235ec49b127Ssinsanction    hasIQWakeupGet.srcWakeupL1ExuOH.zip(status.srcStatus.map(_.srcWakeUpL1ExuOH.get)).foreach {
236aa2bcc31SzhanglyGit      case (exuOH, regExuOH) =>
237aa2bcc31SzhanglyGit        exuOH                                       := 0.U.asTypeOf(exuOH)
238aa2bcc31SzhanglyGit        params.wakeUpSourceExuIdx.foreach(x => exuOH(x) := regExuOH(x))
239aa2bcc31SzhanglyGit    }
240aa2bcc31SzhanglyGit    hasIQWakeupGet.canIssueBypass                   := validReg && !status.issued && !status.blocked &&
241aa2bcc31SzhanglyGit      VecInit(status.srcStatus.map(_.srcState).zip(hasIQWakeupGet.srcWakeupByIQWithoutCancel).zipWithIndex.map { case ((state, wakeupVec), srcIdx) =>
242a4d38a63SzhanglyGit        wakeupVec.asUInt.orR | state
243aa2bcc31SzhanglyGit      }).asUInt.andR
244aa2bcc31SzhanglyGit  }
245aa2bcc31SzhanglyGit
246aa2bcc31SzhanglyGit
247aa2bcc31SzhanglyGit  def ShiftLoadDependency(hasIQWakeupGet: CommonIQWakeupBundle)(implicit p: Parameters, params: IssueBlockParams) = {
248aa2bcc31SzhanglyGit    hasIQWakeupGet.shiftedWakeupLoadDependencyByIQVec
249aa2bcc31SzhanglyGit      .zip(hasIQWakeupGet.wakeupLoadDependencyByIQVec)
250aa2bcc31SzhanglyGit      .zip(params.wakeUpInExuSources.map(_.name)).foreach {
251aa2bcc31SzhanglyGit      case ((deps, originalDeps), name) => deps.zip(originalDeps).zipWithIndex.foreach {
252aa2bcc31SzhanglyGit        case ((dep, originalDep), deqPortIdx) =>
25380c686d5SzhanglyGit          if (params.backendParam.getLdExuIdx(params.backendParam.allExuParams.find(_.name == name).get) == deqPortIdx)
254d2fb0dcdSzhanglyGit            dep := 1.U
255aa2bcc31SzhanglyGit          else
256aa2bcc31SzhanglyGit            dep := originalDep << 1
257aa2bcc31SzhanglyGit      }
258aa2bcc31SzhanglyGit    }
259aa2bcc31SzhanglyGit  }
260aa2bcc31SzhanglyGit
2612734c4a6Sxiao feibao  def wakeUpByVf(OH: Vec[Bool])(implicit p: Parameters): Bool = {
2622734c4a6Sxiao feibao    val allExuParams = p(XSCoreParamsKey).backendParams.allExuParams
2632734c4a6Sxiao feibao    OH.zip(allExuParams).map{case (oh,e) =>
2642734c4a6Sxiao feibao      if (e.isVfExeUnit) oh else false.B
2652734c4a6Sxiao feibao    }.reduce(_ || _)
266aa2bcc31SzhanglyGit  }
267aa2bcc31SzhanglyGit
268397c0f33Ssinsanction  def EntryRegCommonConnect(common: CommonWireBundle, hasIQWakeup: Option[CommonIQWakeupBundle], validReg: Bool, entryUpdate: EntryBundle, entryReg: EntryBundle, status: Status, commonIn: CommonInBundle, isEnq: Boolean)(implicit p: Parameters, params: IssueBlockParams) = {
269aa2bcc31SzhanglyGit    val hasIQWakeupGet                                 = hasIQWakeup.getOrElse(0.U.asTypeOf(new CommonIQWakeupBundle))
270eea4a3caSzhanglyGit    val cancelByLd                                     = common.srcCancelVec.asUInt.orR
271aa2bcc31SzhanglyGit    val cancelWhenWakeup                               = VecInit(hasIQWakeupGet.srcWakeupByIQButCancel.map(_.asUInt.orR)).asUInt.orR
272f08a822fSzhanglyGit    val respIssueFail                                  = commonIn.issueResp.valid && RespType.isBlocked(commonIn.issueResp.bits.resp)
273397c0f33Ssinsanction    entryUpdate.status.robIdx                         := status.robIdx
274397c0f33Ssinsanction    entryUpdate.status.fuType                         := IQFuType.readFuType(status.fuType, params.getFuCfgs.map(_.fuType))
275397c0f33Ssinsanction    entryUpdate.status.srcStatus.zip(status.srcStatus).zipWithIndex.foreach { case ((srcStatusNext, srcStatus), srcIdx) =>
276eea4a3caSzhanglyGit      val cancel = common.srcCancelVec(srcIdx)
277aa2bcc31SzhanglyGit      val wakeupByIQ = hasIQWakeupGet.srcWakeupByIQ(srcIdx).asUInt.orR
278aa2bcc31SzhanglyGit      val wakeupByIQOH = hasIQWakeupGet.srcWakeupByIQ(srcIdx)
279aa2bcc31SzhanglyGit      val wakeup = common.srcWakeup(srcIdx)
280b6279fc6SZiyue Zhang
281b6279fc6SZiyue Zhang      val ignoreOldVd = Wire(Bool())
282b6279fc6SZiyue Zhang      val vlWakeUpByWb = common.vlWakeupByWb
283b6279fc6SZiyue Zhang      val isDependOldvd = entryReg.payload.vpu.isDependOldvd
284d8ceb649SZiyue Zhang      val isWritePartVd = entryReg.payload.vpu.isWritePartVd
285b6279fc6SZiyue Zhang      val vta = entryReg.payload.vpu.vta
286b6279fc6SZiyue Zhang      val vma = entryReg.payload.vpu.vma
287b6279fc6SZiyue Zhang      val vm = entryReg.payload.vpu.vm
288b6279fc6SZiyue Zhang      val vlIsZero = commonIn.vlIsZero
289b6279fc6SZiyue Zhang      val vlIsVlmax = commonIn.vlIsVlmax
290d8ceb649SZiyue Zhang      val ignoreTail = vlIsVlmax && (vm =/= 0.U || vma) && !isWritePartVd
291b6279fc6SZiyue Zhang      val ignoreWhole = !vlIsVlmax && (vm =/= 0.U || vma) && vta
292cc991b08SZiyue Zhang      val srcIsVec = SrcType.isVp(srcStatus.srcType)
293b6279fc6SZiyue Zhang      if (params.numVfSrc > 0 && srcIdx == 2) {
294b6279fc6SZiyue Zhang        /**
295b6279fc6SZiyue Zhang          * the src store the old vd, update it when vl is write back
296b6279fc6SZiyue Zhang          * 1. when the instruction depend on old vd, we cannot set the srctype to imm, we will update the method of uop split to avoid this situation soon
297b6279fc6SZiyue Zhang          * 2. when vl = 0, we cannot set the srctype to imm because the vd keep the old value
298b6279fc6SZiyue Zhang          * 3. when vl = vlmax, we can set srctype to imm when vta is not set
299b6279fc6SZiyue Zhang          */
300cc991b08SZiyue Zhang        ignoreOldVd := srcIsVec && vlWakeUpByWb && !isDependOldvd && !vlIsZero && (ignoreTail || ignoreWhole)
301b6279fc6SZiyue Zhang      } else {
302b6279fc6SZiyue Zhang        ignoreOldVd := false.B
303b6279fc6SZiyue Zhang      }
304b6279fc6SZiyue Zhang
305aa2bcc31SzhanglyGit      srcStatusNext.psrc                              := srcStatus.psrc
306b6279fc6SZiyue Zhang      srcStatusNext.srcType                           := Mux(ignoreOldVd, SrcType.no, srcStatus.srcType)
307b6279fc6SZiyue Zhang      srcStatusNext.srcState                          := Mux(cancel, false.B, wakeup | srcStatus.srcState | ignoreOldVd)
3084fa640e4Ssinsanction      srcStatusNext.dataSources.value                 := (if (params.inVfSchd && params.readVfRf && params.hasIQWakeUp) {
309c4cabf18Ssinsanction                                                            // Vf / Mem -> Vf
310de111a36Ssinsanction                                                            val isWakeupByMemIQ = wakeupByIQOH.zip(commonIn.wakeUpFromIQ).filter(_._2.bits.params.isMemExeUnit).map(_._1).fold(false.B)(_ || _)
311c4cabf18Ssinsanction                                                            MuxCase(srcStatus.dataSources.value, Seq(
312c4cabf18Ssinsanction                                                              (wakeupByIQ && isWakeupByMemIQ)    -> DataSource.bypass2,
313c4cabf18Ssinsanction                                                              (wakeupByIQ && !isWakeupByMemIQ)   -> DataSource.bypass,
314c4cabf18Ssinsanction                                                              srcStatus.dataSources.readBypass   -> DataSource.bypass2,
315c4cabf18Ssinsanction                                                              srcStatus.dataSources.readBypass2  -> DataSource.reg,
316aa2bcc31SzhanglyGit                                                            ))
3174fa640e4Ssinsanction                                                          }
318a75d561cSsinsanction                                                          else if (params.inMemSchd && params.readVfRf && params.hasIQWakeUp) {
319c4cabf18Ssinsanction                                                            // Vf / Int -> Mem
320c4cabf18Ssinsanction                                                            MuxCase(srcStatus.dataSources.value, Seq(
321c4cabf18Ssinsanction                                                              wakeupByIQ                                                               -> DataSource.bypass,
3222734c4a6Sxiao feibao                                                              (srcStatus.dataSources.readBypass && wakeUpByVf(srcStatus.srcWakeUpL1ExuOH.get)) -> DataSource.bypass2,
3232734c4a6Sxiao feibao                                                              (srcStatus.dataSources.readBypass && !wakeUpByVf(srcStatus.srcWakeUpL1ExuOH.get)) -> DataSource.reg,
324c4cabf18Ssinsanction                                                              srcStatus.dataSources.readBypass2                                        -> DataSource.reg,
325c4cabf18Ssinsanction                                                            ))
326a75d561cSsinsanction                                                          }
327c4cabf18Ssinsanction                                                          else {
328c4cabf18Ssinsanction                                                            MuxCase(srcStatus.dataSources.value, Seq(
329c4cabf18Ssinsanction                                                              wakeupByIQ                         -> DataSource.bypass,
330c4cabf18Ssinsanction                                                              srcStatus.dataSources.readBypass   -> DataSource.reg,
331c4cabf18Ssinsanction                                                            ))
332c4cabf18Ssinsanction                                                          })
333aa2bcc31SzhanglyGit      if(params.hasIQWakeUp) {
334ec49b127Ssinsanction        ExuOHGen(srcStatusNext.srcWakeUpL1ExuOH.get, wakeupByIQOH, hasIQWakeupGet.srcWakeupL1ExuOH(srcIdx))
335ec49b127Ssinsanction        srcStatusNext.srcLoadDependency               := Mux(wakeupByIQ,
336aa2bcc31SzhanglyGit                                                            Mux1H(wakeupByIQOH, hasIQWakeupGet.shiftedWakeupLoadDependencyByIQVec),
337ec49b127Ssinsanction                                                            common.srcLoadDependencyNext(srcIdx))
338eea4a3caSzhanglyGit      } else {
339ec49b127Ssinsanction        srcStatusNext.srcLoadDependency               := common.srcLoadDependencyNext(srcIdx)
340aa2bcc31SzhanglyGit      }
3414c2a845dSsinsanction
3424c2a845dSsinsanction      if (params.needReadRegCache) {
3434c2a845dSsinsanction        val wakeupSrcExuWriteRC = wakeupByIQOH.zip(commonIn.wakeUpFromIQ).filter(_._2.bits.params.needWriteRegCache)
344*de4e991cSsinsanction        val wakeupRC    = wakeupSrcExuWriteRC.map(_._1).fold(false.B)(_ || _) && SrcType.isXp(srcStatus.srcType)
3454c2a845dSsinsanction        val wakeupRCIdx = Mux1H(wakeupSrcExuWriteRC.map(_._1), wakeupSrcExuWriteRC.map(_._2.bits.rcDest.get))
3464c2a845dSsinsanction        val replaceRC   = wakeupSrcExuWriteRC.map(x => x._2.bits.rfWen && x._2.bits.rcDest.get === srcStatus.regCacheIdx.get).fold(false.B)(_ || _)
3474c2a845dSsinsanction
3484c2a845dSsinsanction        srcStatusNext.useRegCache.get                 := MuxCase(srcStatus.useRegCache.get, Seq(
3494c2a845dSsinsanction                                                            cancel    -> false.B,
3504c2a845dSsinsanction                                                            wakeupRC  -> true.B,
3514c2a845dSsinsanction                                                            replaceRC -> false.B,
3524c2a845dSsinsanction                                                         ))
3534c2a845dSsinsanction        srcStatusNext.regCacheIdx.get                 := Mux(wakeupRC, wakeupRCIdx, srcStatus.regCacheIdx.get)
3544c2a845dSsinsanction      }
355aa2bcc31SzhanglyGit    }
356397c0f33Ssinsanction    entryUpdate.status.blocked                        := false.B
357397c0f33Ssinsanction    entryUpdate.status.issued                         := MuxCase(status.issued, Seq(
358aa2bcc31SzhanglyGit      (cancelByLd || cancelWhenWakeup || respIssueFail) -> false.B,
359aa2bcc31SzhanglyGit      commonIn.deqSel                                   -> true.B,
360aa2bcc31SzhanglyGit      !status.srcReady                                  -> false.B,
361aa2bcc31SzhanglyGit    ))
362397c0f33Ssinsanction    entryUpdate.status.firstIssue                     := commonIn.deqSel || status.firstIssue
363dd40a82bSsinsanction    entryUpdate.status.issueTimer                     := Mux(commonIn.deqSel, 0.U, Mux(status.issued, Mux(status.issueTimer === "b11".U, status.issueTimer, status.issueTimer + 1.U), "b11".U))
364397c0f33Ssinsanction    entryUpdate.status.deqPortIdx                     := Mux(commonIn.deqSel, commonIn.deqPortIdxWrite, Mux(status.issued, status.deqPortIdx, 0.U))
365397c0f33Ssinsanction    entryUpdate.imm.foreach(_                         := entryReg.imm.get)
366397c0f33Ssinsanction    entryUpdate.payload                               := entryReg.payload
367397c0f33Ssinsanction    if (params.isVecMemIQ) {
368397c0f33Ssinsanction      entryUpdate.status.vecMem.get := entryReg.status.vecMem.get
369397c0f33Ssinsanction    }
370aa2bcc31SzhanglyGit  }
371aa2bcc31SzhanglyGit
372df26db8aSsinsanction  def CommonOutConnect(commonOut: CommonOutBundle, common: CommonWireBundle, hasIQWakeup: Option[CommonIQWakeupBundle], validReg: Bool, entryUpdate: EntryBundle, entryReg: EntryBundle, status: Status, commonIn: CommonInBundle, isEnq: Boolean, isComp: Boolean)(implicit p: Parameters, params: IssueBlockParams) = {
373aa2bcc31SzhanglyGit    val hasIQWakeupGet                                 = hasIQWakeup.getOrElse(0.U.asTypeOf(new CommonIQWakeupBundle))
374aa2bcc31SzhanglyGit    commonOut.valid                                   := validReg
375df26db8aSsinsanction    commonOut.canIssue                                := (if (isComp) (common.canIssue || hasIQWakeupGet.canIssueBypass) && !common.flushed
376df26db8aSsinsanction                                                          else common.canIssue && !common.flushed)
377aa2bcc31SzhanglyGit    commonOut.fuType                                  := IQFuType.readFuType(status.fuType, params.getFuCfgs.map(_.fuType)).asUInt
378aa2bcc31SzhanglyGit    commonOut.robIdx                                  := status.robIdx
379aa2bcc31SzhanglyGit    commonOut.dataSource.zipWithIndex.foreach{ case (dataSourceOut, srcIdx) =>
380de111a36Ssinsanction      val wakeupByIQWithoutCancel = hasIQWakeupGet.srcWakeupByIQWithoutCancel(srcIdx).asUInt.orR
381de111a36Ssinsanction      val wakeupByIQWithoutCancelOH = hasIQWakeupGet.srcWakeupByIQWithoutCancel(srcIdx)
382de111a36Ssinsanction      val isWakeupByMemIQ = wakeupByIQWithoutCancelOH.zip(commonIn.wakeUpFromIQ).filter(_._2.bits.params.isMemExeUnit).map(_._1).fold(false.B)(_ || _)
3834c2a845dSsinsanction      val useRegCache = status.srcStatus(srcIdx).useRegCache.getOrElse(false.B) && status.srcStatus(srcIdx).dataSources.readReg
384ec49b127Ssinsanction      dataSourceOut.value                             := (if (isComp)
385ec49b127Ssinsanction                                                            if (params.inVfSchd && params.readVfRf && params.hasWakeupFromMem) {
386ec49b127Ssinsanction                                                              MuxCase(status.srcStatus(srcIdx).dataSources.value, Seq(
387ec49b127Ssinsanction                                                                (wakeupByIQWithoutCancel && !isWakeupByMemIQ)  -> DataSource.forward,
388ec49b127Ssinsanction                                                                (wakeupByIQWithoutCancel && isWakeupByMemIQ)   -> DataSource.bypass,
389ec49b127Ssinsanction                                                              ))
390ec49b127Ssinsanction                                                            } else {
391ec49b127Ssinsanction                                                              MuxCase(status.srcStatus(srcIdx).dataSources.value, Seq(
392ec49b127Ssinsanction                                                                wakeupByIQWithoutCancel                        -> DataSource.forward,
3934c2a845dSsinsanction                                                                useRegCache                                    -> DataSource.regcache,
394ec49b127Ssinsanction                                                              ))
395ec49b127Ssinsanction                                                            }
3964c2a845dSsinsanction                                                          else {
3974c2a845dSsinsanction                                                              MuxCase(status.srcStatus(srcIdx).dataSources.value, Seq(
3984c2a845dSsinsanction                                                                useRegCache                                    -> DataSource.regcache,
3994c2a845dSsinsanction                                                              ))
4004c2a845dSsinsanction                                                          })
401aa2bcc31SzhanglyGit    }
402aa2bcc31SzhanglyGit    commonOut.isFirstIssue                            := !status.firstIssue
403aa2bcc31SzhanglyGit    commonOut.entry.valid                             := validReg
404aa2bcc31SzhanglyGit    commonOut.entry.bits                              := entryReg
405aa2bcc31SzhanglyGit    if(isEnq) {
406aa2bcc31SzhanglyGit      commonOut.entry.bits.status                     := status
407aa2bcc31SzhanglyGit    }
408aa2bcc31SzhanglyGit    commonOut.issueTimerRead                          := status.issueTimer
409aa2bcc31SzhanglyGit    commonOut.deqPortIdxRead                          := status.deqPortIdx
410ec49b127Ssinsanction
411ec49b127Ssinsanction    if(params.hasIQWakeUp) {
412ec49b127Ssinsanction      commonOut.srcWakeUpL1ExuOH.get.zipWithIndex.foreach{ case (exuOHOut, srcIdx) =>
413ec49b127Ssinsanction        val wakeupByIQWithoutCancelOH = hasIQWakeupGet.srcWakeupByIQWithoutCancel(srcIdx)
414ec49b127Ssinsanction        if (isComp)
415ec49b127Ssinsanction          ExuOHGen(exuOHOut, wakeupByIQWithoutCancelOH, hasIQWakeupGet.srcWakeupL1ExuOH(srcIdx))
416ec49b127Ssinsanction        else
417ec49b127Ssinsanction          ExuOHGen(exuOHOut, 0.U.asTypeOf(wakeupByIQWithoutCancelOH), hasIQWakeupGet.srcWakeupL1ExuOH(srcIdx))
418ec49b127Ssinsanction      }
419ec49b127Ssinsanction    }
420ec49b127Ssinsanction
421ec49b127Ssinsanction    val srcLoadDependencyForCancel                     = Wire(chiselTypeOf(common.srcLoadDependencyNext))
422ec49b127Ssinsanction    val srcLoadDependencyOut                           = Wire(chiselTypeOf(common.srcLoadDependencyNext))
423aa2bcc31SzhanglyGit    if(params.hasIQWakeUp) {
424a4d38a63SzhanglyGit      val wakeupSrcLoadDependency                      = hasIQWakeupGet.srcWakeupByIQWithoutCancel.map(x => Mux1H(x, hasIQWakeupGet.wakeupLoadDependencyByIQVec))
425ec49b127Ssinsanction      val wakeupSrcLoadDependencyNext                  = hasIQWakeupGet.srcWakeupByIQWithoutCancel.map(x => Mux1H(x, hasIQWakeupGet.shiftedWakeupLoadDependencyByIQVec))
426ec49b127Ssinsanction      srcLoadDependencyForCancel.zipWithIndex.foreach { case (ldOut, srcIdx) =>
427ec49b127Ssinsanction        ldOut                                         := (if (isComp) Mux(hasIQWakeupGet.srcWakeupByIQWithoutCancel(srcIdx).asUInt.orR,
428ec49b127Ssinsanction                                                                      wakeupSrcLoadDependency(srcIdx),
429eea4a3caSzhanglyGit                                                                      status.srcStatus(srcIdx).srcLoadDependency)
430eea4a3caSzhanglyGit                                                          else status.srcStatus(srcIdx).srcLoadDependency)
431aa2bcc31SzhanglyGit      }
432ec49b127Ssinsanction      srcLoadDependencyOut.zipWithIndex.foreach { case (ldOut, srcIdx) =>
433ec49b127Ssinsanction        ldOut                                         := (if (isComp) Mux(hasIQWakeupGet.srcWakeupByIQWithoutCancel(srcIdx).asUInt.orR,
434ec49b127Ssinsanction                                                                      wakeupSrcLoadDependencyNext(srcIdx),
435ec49b127Ssinsanction                                                                      common.srcLoadDependencyNext(srcIdx))
436ec49b127Ssinsanction                                                          else common.srcLoadDependencyNext(srcIdx))
437ec49b127Ssinsanction      }
438eea4a3caSzhanglyGit    } else {
439ec49b127Ssinsanction      srcLoadDependencyForCancel                      := status.srcStatus.map(_.srcLoadDependency)
440ec49b127Ssinsanction      srcLoadDependencyOut                            := common.srcLoadDependencyNext
441eea4a3caSzhanglyGit    }
442ec49b127Ssinsanction    commonOut.cancelBypass                            := srcLoadDependencyForCancel.map(x => LoadShouldCancel(Some(x), commonIn.ldCancel)).reduce(_ | _)
443ec49b127Ssinsanction    commonOut.entry.bits.status.srcStatus.map(_.srcLoadDependency).zipWithIndex.foreach { case (ldOut, srcIdx) =>
444ec49b127Ssinsanction      ldOut                                           := srcLoadDependencyOut(srcIdx)
445eea4a3caSzhanglyGit    }
446ec49b127Ssinsanction
447397c0f33Ssinsanction    commonOut.enqReady                                := common.enqReady
448397c0f33Ssinsanction    commonOut.transEntry.valid                        := validReg && !common.flushed && !common.deqSuccess
449397c0f33Ssinsanction    commonOut.transEntry.bits                         := entryUpdate
450a6938b17Ssinsanction    // debug
451a6938b17Ssinsanction    commonOut.entryInValid                            := commonIn.enq.valid
452a6938b17Ssinsanction    commonOut.entryOutDeqValid                        := validReg && (common.flushed || common.deqSuccess)
453a6938b17Ssinsanction    commonOut.entryOutTransValid                      := validReg && commonIn.transSel && !(common.flushed || common.deqSuccess)
454e3ef3537Ssinsanction    commonOut.perfWakeupByWB                          := common.srcWakeupByWB.zip(status.srcStatus).map{ case (w, s) => w && SrcState.isBusy(s.srcState) && validReg }
455e3ef3537Ssinsanction    if (params.hasIQWakeUp) {
456ec49b127Ssinsanction      commonOut.perfLdCancel.get                      := common.srcCancelVec.map(_ && validReg)
457e3ef3537Ssinsanction      commonOut.perfOg0Cancel.get                     := hasIQWakeupGet.srcWakeupByIQButCancel.map(_.asUInt.orR && validReg)
458e3ef3537Ssinsanction      commonOut.perfWakeupByIQ.get                    := hasIQWakeupGet.srcWakeupByIQ.map(x => VecInit(x.map(_ && validReg)))
459e3ef3537Ssinsanction    }
460e3ef3537Ssinsanction    // vecMem
461aa2bcc31SzhanglyGit    if (params.isVecMemIQ) {
46299944b79Ssinsanction      commonOut.uopIdx.get                            := entryReg.payload.uopIdx
463aa2bcc31SzhanglyGit    }
464aa2bcc31SzhanglyGit  }
465aa2bcc31SzhanglyGit
466e07131b2Ssinsanction  def EntryVecMemConnect(commonIn: CommonInBundle, common: CommonWireBundle, validReg: Bool, entryReg: EntryBundle, entryRegNext: EntryBundle, entryUpdate: EntryBundle)(implicit p: Parameters, params: IssueBlockParams) = {
46799944b79Ssinsanction    val fromLsq                                        = commonIn.fromLsq.get
46899944b79Ssinsanction    val vecMemStatus                                   = entryReg.status.vecMem.get
46999944b79Ssinsanction    val vecMemStatusUpdate                             = entryUpdate.status.vecMem.get
47099944b79Ssinsanction    vecMemStatusUpdate                                := vecMemStatus
47199944b79Ssinsanction
472e07131b2Ssinsanction    // update blocked
473b0186a50Sweiding liu    entryUpdate.status.blocked                        := false.B
47499944b79Ssinsanction  }
47599944b79Ssinsanction
476ec49b127Ssinsanction  def ExuOHGen(exuOH: Vec[Bool], wakeupByIQOH: Vec[Bool], regSrcExuOH: Vec[Bool])(implicit p: Parameters, params: IssueBlockParams) = {
477ae0295f4STang Haojin    val origExuOH = Wire(chiselTypeOf(exuOH))
478aa2bcc31SzhanglyGit    when(wakeupByIQOH.asUInt.orR) {
479aa2bcc31SzhanglyGit      origExuOH := Mux1H(wakeupByIQOH, params.wakeUpSourceExuIdx.map(x => MathUtils.IntToOH(x).U(p(XSCoreParamsKey).backendParams.numExu.W)).toSeq).asBools
480aa2bcc31SzhanglyGit    }.otherwise {
481aa2bcc31SzhanglyGit      origExuOH := regSrcExuOH
482aa2bcc31SzhanglyGit    }
483aa2bcc31SzhanglyGit    exuOH := 0.U.asTypeOf(exuOH)
484aa2bcc31SzhanglyGit    params.wakeUpSourceExuIdx.foreach(x => exuOH(x) := origExuOH(x))
485aa2bcc31SzhanglyGit  }
486aa2bcc31SzhanglyGit
487aa2bcc31SzhanglyGit  object IQFuType {
488aa2bcc31SzhanglyGit    def num = FuType.num
489aa2bcc31SzhanglyGit
490aa2bcc31SzhanglyGit    def apply() = Vec(num, Bool())
491aa2bcc31SzhanglyGit
492aa2bcc31SzhanglyGit    def readFuType(fuType: Vec[Bool], fus: Seq[FuType.OHType]): Vec[Bool] = {
493ae0295f4STang Haojin      val res = WireDefault(0.U.asTypeOf(fuType))
494aa2bcc31SzhanglyGit      fus.foreach(x => res(x.id) := fuType(x.id))
495aa2bcc31SzhanglyGit      res
496aa2bcc31SzhanglyGit    }
497aa2bcc31SzhanglyGit  }
4984fa640e4Ssinsanction
4994fa640e4Ssinsanction  class EnqDelayInBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
5004fa640e4Ssinsanction    //wakeup
5014fa640e4Ssinsanction    val wakeUpFromWB: MixedVec[ValidIO[IssueQueueWBWakeUpBundle]] = Flipped(params.genWBWakeUpSinkValidBundle)
5024fa640e4Ssinsanction    val wakeUpFromIQ: MixedVec[ValidIO[IssueQueueIQWakeUpBundle]] = Flipped(params.genIQWakeUpSinkValidBundle)
5034fa640e4Ssinsanction    //cancel
50491f31488Sxiaofeibao-xjtu    val srcLoadDependency     = Input(Vec(params.numRegSrc, Vec(LoadPipelineWidth, UInt(LoadDependencyWidth.W))))
505be9ff987Ssinsanction    val og0Cancel             = Input(ExuVec())
5064fa640e4Ssinsanction    val ldCancel              = Vec(backendParams.LdExuCnt, Flipped(new LoadCancelIO))
5074fa640e4Ssinsanction  }
5084fa640e4Ssinsanction
5094fa640e4Ssinsanction  class EnqDelayOutBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
5104fa640e4Ssinsanction    val srcWakeUpByWB: Vec[UInt]                            = Vec(params.numRegSrc, SrcState())
5114fa640e4Ssinsanction    val srcWakeUpByIQ: Vec[UInt]                            = Vec(params.numRegSrc, SrcState())
5124fa640e4Ssinsanction    val srcWakeUpByIQVec: Vec[Vec[Bool]]                    = Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool()))
51391f31488Sxiaofeibao-xjtu    val srcCancelByLoad: Vec[Bool]                          = Vec(params.numRegSrc, Bool())
514ec49b127Ssinsanction    val shiftedWakeupLoadDependencyByIQVec: Vec[Vec[UInt]]  = Vec(params.numWakeupFromIQ, Vec(LoadPipelineWidth, UInt(LoadDependencyWidth.W)))
5154fa640e4Ssinsanction  }
5164fa640e4Ssinsanction
5174fa640e4Ssinsanction  def EnqDelayWakeupConnect(enqDelayIn: EnqDelayInBundle, enqDelayOut: EnqDelayOutBundle, status: Status, delay: Int)(implicit p: Parameters, params: IssueBlockParams) = {
5184fa640e4Ssinsanction    enqDelayOut.srcWakeUpByWB.zipWithIndex.foreach { case (wakeup, i) =>
5198dd32220Ssinsanction      wakeup := enqDelayIn.wakeUpFromWB.map{ x =>
5208dd32220Ssinsanction        if (i == 3)
5218dd32220Ssinsanction          x.bits.wakeUpV0((status.srcStatus(i).psrc, status.srcStatus(i).srcType), x.valid)
5228dd32220Ssinsanction        else if (i == 4)
5238dd32220Ssinsanction          x.bits.wakeUpVl((status.srcStatus(i).psrc, status.srcStatus(i).srcType), x.valid)
5248dd32220Ssinsanction        else
5258dd32220Ssinsanction          x.bits.wakeUp(Seq((status.srcStatus(i).psrc, status.srcStatus(i).srcType)), x.valid).head
5268dd32220Ssinsanction      }.reduce(_ || _)
5274fa640e4Ssinsanction    }
5284fa640e4Ssinsanction
5294fa640e4Ssinsanction    if (params.hasIQWakeUp) {
5308dd32220Ssinsanction      val wakeupVec: IndexedSeq[IndexedSeq[Bool]] = enqDelayIn.wakeUpFromIQ.map{ x =>
5318dd32220Ssinsanction        val psrcSrcTypeVec = status.srcStatus.map(_.psrc) zip status.srcStatus.map(_.srcType)
5328dd32220Ssinsanction        if (params.numRegSrc == 5) {
5338dd32220Ssinsanction          x.bits.wakeUpFromIQ(psrcSrcTypeVec.take(3)) :+
5348dd32220Ssinsanction          x.bits.wakeUpV0FromIQ(psrcSrcTypeVec(3)) :+
5358dd32220Ssinsanction          x.bits.wakeUpVlFromIQ(psrcSrcTypeVec(4))
5368dd32220Ssinsanction        }
5378dd32220Ssinsanction        else
5388dd32220Ssinsanction          x.bits.wakeUpFromIQ(psrcSrcTypeVec)
5398dd32220Ssinsanction      }.toIndexedSeq.transpose
5404fa640e4Ssinsanction      val cancelSel = params.wakeUpSourceExuIdx.zip(enqDelayIn.wakeUpFromIQ).map{ case (x, y) => enqDelayIn.og0Cancel(x) && y.bits.is0Lat}
5414fa640e4Ssinsanction      enqDelayOut.srcWakeUpByIQVec := wakeupVec.map(x => VecInit(x.zip(cancelSel).map { case (wakeup, cancel) => wakeup && !cancel }))
5424fa640e4Ssinsanction    } else {
5434fa640e4Ssinsanction      enqDelayOut.srcWakeUpByIQVec := 0.U.asTypeOf(enqDelayOut.srcWakeUpByIQVec)
5444fa640e4Ssinsanction    }
5454fa640e4Ssinsanction
5464fa640e4Ssinsanction    if (params.hasIQWakeUp) {
5474fa640e4Ssinsanction      enqDelayOut.srcWakeUpByIQ.zipWithIndex.foreach { case (wakeup, i) =>
5484fa640e4Ssinsanction        val ldTransCancel = Mux1H(enqDelayOut.srcWakeUpByIQVec(i), enqDelayIn.wakeUpFromIQ.map(_.bits.loadDependency).map(dp => LoadShouldCancel(Some(dp), enqDelayIn.ldCancel)).toSeq)
5494fa640e4Ssinsanction        wakeup := enqDelayOut.srcWakeUpByIQVec(i).asUInt.orR && !ldTransCancel
5504fa640e4Ssinsanction      }
55191f31488Sxiaofeibao-xjtu      enqDelayOut.srcCancelByLoad.zipWithIndex.foreach { case (ldCancel, i) =>
55291f31488Sxiaofeibao-xjtu        ldCancel := LoadShouldCancel(Some(enqDelayIn.srcLoadDependency(i)), enqDelayIn.ldCancel)
55391f31488Sxiaofeibao-xjtu      }
5544fa640e4Ssinsanction    } else {
5554fa640e4Ssinsanction      enqDelayOut.srcWakeUpByIQ := 0.U.asTypeOf(enqDelayOut.srcWakeUpByIQ)
55691f31488Sxiaofeibao-xjtu      enqDelayOut.srcCancelByLoad := 0.U.asTypeOf(enqDelayOut.srcCancelByLoad)
5574fa640e4Ssinsanction    }
5584fa640e4Ssinsanction
5594fa640e4Ssinsanction    enqDelayOut.shiftedWakeupLoadDependencyByIQVec.zip(enqDelayIn.wakeUpFromIQ.map(_.bits.loadDependency))
5604fa640e4Ssinsanction      .zip(params.wakeUpInExuSources.map(_.name)).foreach { case ((dps, ldps), name) =>
5614fa640e4Ssinsanction      dps.zip(ldps).zipWithIndex.foreach { case ((dp, ldp), deqPortIdx) =>
5624fa640e4Ssinsanction        if (params.backendParam.getLdExuIdx(params.backendParam.allExuParams.find(_.name == name).get) == deqPortIdx)
563ec49b127Ssinsanction          dp := 1.U << (delay - 1)
5644fa640e4Ssinsanction        else
5654fa640e4Ssinsanction          dp := ldp << delay
5664fa640e4Ssinsanction      }
5674fa640e4Ssinsanction    }
5684fa640e4Ssinsanction  }
569aa2bcc31SzhanglyGit}
570