xref: /XiangShan/src/main/scala/xiangshan/backend/issue/EntryBundles.scala (revision bb2f3f51dd67f6e16e0cc1ffe43368c9fc7e4aef)
1aa2bcc31SzhanglyGitpackage xiangshan.backend.issue
2aa2bcc31SzhanglyGit
3aa2bcc31SzhanglyGitimport org.chipsalliance.cde.config.Parameters
4aa2bcc31SzhanglyGitimport chisel3._
5aa2bcc31SzhanglyGitimport chisel3.util._
6*bb2f3f51STang Haojinimport utils.MathUtils
7*bb2f3f51STang Haojinimport utility.{HasCircularQueuePtrHelper, XSError}
8aa2bcc31SzhanglyGitimport xiangshan._
9aa2bcc31SzhanglyGitimport xiangshan.backend.Bundles._
10aa2bcc31SzhanglyGitimport xiangshan.backend.datapath.DataSource
11aa2bcc31SzhanglyGitimport xiangshan.backend.fu.FuType
126dbb4e08SXuan Huimport xiangshan.backend.fu.vector.Bundles.NumLsElem
13aa2bcc31SzhanglyGitimport xiangshan.backend.rob.RobPtr
146dbb4e08SXuan Huimport xiangshan.mem.{LqPtr, MemWaitUpdateReq, SqPtr}
15aa2bcc31SzhanglyGit
16aa2bcc31SzhanglyGitobject EntryBundles extends HasCircularQueuePtrHelper {
17aa2bcc31SzhanglyGit
18aa2bcc31SzhanglyGit  class Status(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
19aa2bcc31SzhanglyGit    //basic status
20aa2bcc31SzhanglyGit    val robIdx                = new RobPtr
21aa2bcc31SzhanglyGit    val fuType                = IQFuType()
22aa2bcc31SzhanglyGit    //src status
23aa2bcc31SzhanglyGit    val srcStatus             = Vec(params.numRegSrc, new SrcStatus)
24aa2bcc31SzhanglyGit    //issue status
25aa2bcc31SzhanglyGit    val blocked               = Bool()
26aa2bcc31SzhanglyGit    val issued                = Bool()
27aa2bcc31SzhanglyGit    val firstIssue            = Bool()
28aa2bcc31SzhanglyGit    val issueTimer            = UInt(2.W)
29aa2bcc31SzhanglyGit    val deqPortIdx            = UInt(1.W)
30aa2bcc31SzhanglyGit    //vector mem status
31*bb2f3f51STang Haojin    val vecMem                = Option.when(params.isVecMemIQ)(new StatusVecMemPart)
32aa2bcc31SzhanglyGit
33aa2bcc31SzhanglyGit    def srcReady: Bool        = {
34aa2bcc31SzhanglyGit      VecInit(srcStatus.map(_.srcState).map(SrcState.isReady)).asUInt.andR
35aa2bcc31SzhanglyGit    }
36aa2bcc31SzhanglyGit
37aa2bcc31SzhanglyGit    def canIssue: Bool        = {
38aa2bcc31SzhanglyGit      srcReady && !issued && !blocked
39aa2bcc31SzhanglyGit    }
40aa2bcc31SzhanglyGit
41eea4a3caSzhanglyGit    def mergedLoadDependency: Vec[UInt] = {
42eea4a3caSzhanglyGit      srcStatus.map(_.srcLoadDependency).reduce({
43aa2bcc31SzhanglyGit        case (l: Vec[UInt], r: Vec[UInt]) => VecInit(l.zip(r).map(x => x._1 | x._2))
44eea4a3caSzhanglyGit      }: (Vec[UInt], Vec[UInt]) => Vec[UInt])
45aa2bcc31SzhanglyGit    }
46aa2bcc31SzhanglyGit  }
47aa2bcc31SzhanglyGit
48aa2bcc31SzhanglyGit  class SrcStatus(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
49aa2bcc31SzhanglyGit    val psrc                  = UInt(params.rdPregIdxWidth.W)
50aa2bcc31SzhanglyGit    val srcType               = SrcType()
51aa2bcc31SzhanglyGit    val srcState              = SrcState()
52aa2bcc31SzhanglyGit    val dataSources           = DataSource()
53ec49b127Ssinsanction    val srcLoadDependency     = Vec(LoadPipelineWidth, UInt(LoadDependencyWidth.W))
54*bb2f3f51STang Haojin    val srcWakeUpL1ExuOH      = Option.when(params.hasIQWakeUp)(ExuVec())
55aa2bcc31SzhanglyGit  }
56aa2bcc31SzhanglyGit
57aa2bcc31SzhanglyGit  class StatusVecMemPart(implicit p:Parameters, params: IssueBlockParams) extends Bundle {
58aa2bcc31SzhanglyGit    val sqIdx                 = new SqPtr
59aa2bcc31SzhanglyGit    val lqIdx                 = new LqPtr
606dbb4e08SXuan Hu    val numLsElem             = NumLsElem()
61aa2bcc31SzhanglyGit  }
62aa2bcc31SzhanglyGit
6338f78b5dSxiaofeibao-xjtu  class EntryDeqRespBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
64aa2bcc31SzhanglyGit    val robIdx                = new RobPtr
65f08a822fSzhanglyGit    val resp                  = RespType()
66aa2bcc31SzhanglyGit    val fuType                = FuType()
67*bb2f3f51STang Haojin    val uopIdx                = Option.when(params.isVecMemIQ)(Output(UopIdx()))
68*bb2f3f51STang Haojin    val sqIdx                 = Option.when(params.needFeedBackSqIdx)(new SqPtr())
69*bb2f3f51STang Haojin    val lqIdx                 = Option.when(params.needFeedBackLqIdx)(new LqPtr())
70aa2bcc31SzhanglyGit  }
71aa2bcc31SzhanglyGit
72f08a822fSzhanglyGit  object RespType {
73f08a822fSzhanglyGit    def apply() = UInt(2.W)
74f08a822fSzhanglyGit
75f08a822fSzhanglyGit    def isBlocked(resp: UInt) = {
76f08a822fSzhanglyGit      resp === block
77f08a822fSzhanglyGit    }
78f08a822fSzhanglyGit
79f08a822fSzhanglyGit    def succeed(resp: UInt) = {
80f08a822fSzhanglyGit      resp === success
81f08a822fSzhanglyGit    }
82f08a822fSzhanglyGit
83f08a822fSzhanglyGit    val block = "b00".U
84f08a822fSzhanglyGit    val uncertain = "b01".U
85f08a822fSzhanglyGit    val success = "b11".U
86f08a822fSzhanglyGit  }
87f08a822fSzhanglyGit
88aa2bcc31SzhanglyGit  class EntryBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
89aa2bcc31SzhanglyGit    val status                = new Status()
90*bb2f3f51STang Haojin    val imm                   = Option.when(params.needImm)(UInt((params.deqImmTypesMaxLen).W))
91aa2bcc31SzhanglyGit    val payload               = new DynInst()
92aa2bcc31SzhanglyGit  }
93aa2bcc31SzhanglyGit
94aa2bcc31SzhanglyGit  class CommonInBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
95aa2bcc31SzhanglyGit    val flush                 = Flipped(ValidIO(new Redirect))
96aa2bcc31SzhanglyGit    val enq                   = Flipped(ValidIO(new EntryBundle))
97aa2bcc31SzhanglyGit    //wakeup
98aa2bcc31SzhanglyGit    val wakeUpFromWB: MixedVec[ValidIO[IssueQueueWBWakeUpBundle]] = Flipped(params.genWBWakeUpSinkValidBundle)
99aa2bcc31SzhanglyGit    val wakeUpFromIQ: MixedVec[ValidIO[IssueQueueIQWakeUpBundle]] = Flipped(params.genIQWakeUpSinkValidBundle)
100b6279fc6SZiyue Zhang    // vl
101b6279fc6SZiyue Zhang    val vlIsZero              = Input(Bool())
102b6279fc6SZiyue Zhang    val vlIsVlmax             = Input(Bool())
103aa2bcc31SzhanglyGit    //cancel
104aa2bcc31SzhanglyGit    val og0Cancel             = Input(ExuOH(backendParams.numExu))
105aa2bcc31SzhanglyGit    val og1Cancel             = Input(ExuOH(backendParams.numExu))
106aa2bcc31SzhanglyGit    val ldCancel              = Vec(backendParams.LdExuCnt, Flipped(new LoadCancelIO))
107aa2bcc31SzhanglyGit    //deq sel
108aa2bcc31SzhanglyGit    val deqSel                = Input(Bool())
109aa2bcc31SzhanglyGit    val deqPortIdxWrite       = Input(UInt(1.W))
110aa2bcc31SzhanglyGit    val issueResp             = Flipped(ValidIO(new EntryDeqRespBundle))
111aa2bcc31SzhanglyGit    //trans sel
112aa2bcc31SzhanglyGit    val transSel              = Input(Bool())
113aa2bcc31SzhanglyGit    // vector mem only
114*bb2f3f51STang Haojin    val fromLsq = Option.when(params.isVecMemIQ)(new Bundle {
115aa2bcc31SzhanglyGit      val sqDeqPtr            = Input(new SqPtr)
116aa2bcc31SzhanglyGit      val lqDeqPtr            = Input(new LqPtr)
117aa2bcc31SzhanglyGit    })
118aa2bcc31SzhanglyGit  }
119aa2bcc31SzhanglyGit
120aa2bcc31SzhanglyGit  class CommonOutBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
121aa2bcc31SzhanglyGit    //status
122aa2bcc31SzhanglyGit    val valid                 = Output(Bool())
123aa2bcc31SzhanglyGit    val canIssue              = Output(Bool())
124aa2bcc31SzhanglyGit    val fuType                = Output(FuType())
125aa2bcc31SzhanglyGit    val robIdx                = Output(new RobPtr)
126*bb2f3f51STang Haojin    val uopIdx                = Option.when(params.isVecMemIQ)(Output(UopIdx()))
127aa2bcc31SzhanglyGit    //src
128aa2bcc31SzhanglyGit    val dataSource            = Vec(params.numRegSrc, Output(DataSource()))
129*bb2f3f51STang Haojin    val srcWakeUpL1ExuOH      = Option.when(params.hasIQWakeUp)(Vec(params.numRegSrc, Output(ExuVec())))
130aa2bcc31SzhanglyGit    //deq
131aa2bcc31SzhanglyGit    val isFirstIssue          = Output(Bool())
132aa2bcc31SzhanglyGit    val entry                 = ValidIO(new EntryBundle)
133ec49b127Ssinsanction    val cancelBypass          = Output(Bool())
134aa2bcc31SzhanglyGit    val deqPortIdxRead        = Output(UInt(1.W))
135aa2bcc31SzhanglyGit    val issueTimerRead        = Output(UInt(2.W))
136397c0f33Ssinsanction    //trans
137397c0f33Ssinsanction    val enqReady              = Output(Bool())
138397c0f33Ssinsanction    val transEntry            = ValidIO(new EntryBundle)
139aa2bcc31SzhanglyGit    // debug
140a6938b17Ssinsanction    val entryInValid          = Output(Bool())
141a6938b17Ssinsanction    val entryOutDeqValid      = Output(Bool())
142a6938b17Ssinsanction    val entryOutTransValid    = Output(Bool())
143*bb2f3f51STang Haojin    val perfLdCancel          = Option.when(params.hasIQWakeUp)(Output(Vec(params.numRegSrc, Bool())))
144*bb2f3f51STang Haojin    val perfOg0Cancel         = Option.when(params.hasIQWakeUp)(Output(Vec(params.numRegSrc, Bool())))
145e3ef3537Ssinsanction    val perfWakeupByWB        = Output(Vec(params.numRegSrc, Bool()))
146*bb2f3f51STang Haojin    val perfWakeupByIQ        = Option.when(params.hasIQWakeUp)(Output(Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool()))))
147aa2bcc31SzhanglyGit  }
148aa2bcc31SzhanglyGit
149aa2bcc31SzhanglyGit  class CommonWireBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
150aa2bcc31SzhanglyGit    val validRegNext          = Bool()
151aa2bcc31SzhanglyGit    val flushed               = Bool()
152aa2bcc31SzhanglyGit    val clear                 = Bool()
153aa2bcc31SzhanglyGit    val canIssue              = Bool()
154aa2bcc31SzhanglyGit    val enqReady              = Bool()
155aa2bcc31SzhanglyGit    val deqSuccess            = Bool()
156aa2bcc31SzhanglyGit    val srcWakeup             = Vec(params.numRegSrc, Bool())
157aa2bcc31SzhanglyGit    val srcWakeupByWB         = Vec(params.numRegSrc, Bool())
158b6279fc6SZiyue Zhang    val vlWakeupByWb          = Bool()
159eea4a3caSzhanglyGit    val srcCancelVec          = Vec(params.numRegSrc, Bool())
160eea4a3caSzhanglyGit    val srcLoadCancelVec      = Vec(params.numRegSrc, Bool())
161ec49b127Ssinsanction    val srcLoadDependencyNext = Vec(params.numRegSrc, Vec(LoadPipelineWidth, UInt(LoadDependencyWidth.W)))
162aa2bcc31SzhanglyGit  }
163aa2bcc31SzhanglyGit
1640dfdb52aSzhanglyGit  def CommonWireConnect(common: CommonWireBundle, hasIQWakeup: Option[CommonIQWakeupBundle], validReg: Bool, status: Status, commonIn: CommonInBundle, isEnq: Boolean)(implicit p: Parameters, params: IssueBlockParams) = {
165aa2bcc31SzhanglyGit    val hasIQWakeupGet        = hasIQWakeup.getOrElse(0.U.asTypeOf(new CommonIQWakeupBundle))
1660dfdb52aSzhanglyGit    common.flushed            := status.robIdx.needFlush(commonIn.flush)
167f08a822fSzhanglyGit    common.deqSuccess         := commonIn.issueResp.valid && RespType.succeed(commonIn.issueResp.bits.resp) && !common.srcLoadCancelVec.asUInt.orR
168aa2bcc31SzhanglyGit    common.srcWakeup          := common.srcWakeupByWB.zip(hasIQWakeupGet.srcWakeupByIQ).map { case (x, y) => x || y.asUInt.orR }
1698dd32220Ssinsanction    common.srcWakeupByWB      := commonIn.wakeUpFromWB.map{ bundle =>
1708dd32220Ssinsanction                                    val psrcSrcTypeVec = status.srcStatus.map(_.psrc) zip status.srcStatus.map(_.srcType)
1718dd32220Ssinsanction                                    if (params.numRegSrc == 5) {
1728dd32220Ssinsanction                                      bundle.bits.wakeUp(psrcSrcTypeVec.take(3), bundle.valid) :+
1738dd32220Ssinsanction                                      bundle.bits.wakeUpV0(psrcSrcTypeVec(3), bundle.valid) :+
1748dd32220Ssinsanction                                      bundle.bits.wakeUpVl(psrcSrcTypeVec(4), bundle.valid)
1758dd32220Ssinsanction                                    }
1768dd32220Ssinsanction                                    else
1778dd32220Ssinsanction                                      bundle.bits.wakeUp(psrcSrcTypeVec, bundle.valid)
1788dd32220Ssinsanction                                 }.transpose.map(x => VecInit(x.toSeq).asUInt.orR).toSeq
179a4d38a63SzhanglyGit    common.canIssue           := validReg && status.canIssue
180aa2bcc31SzhanglyGit    common.enqReady           := !validReg || common.clear
18128607074Ssinsanction    common.clear              := common.flushed || common.deqSuccess || commonIn.transSel
182eea4a3caSzhanglyGit    common.srcCancelVec.zip(common.srcLoadCancelVec).zip(hasIQWakeupGet.srcWakeupByIQWithoutCancel).zipWithIndex.foreach { case (((srcCancel, srcLoadCancel), wakeUpByIQVec), srcIdx) =>
183eea4a3caSzhanglyGit      val ldTransCancel = if(params.hasIQWakeUp) Mux1H(wakeUpByIQVec, hasIQWakeupGet.wakeupLoadDependencyByIQVec.map(dep => LoadShouldCancel(Some(dep), commonIn.ldCancel))) else false.B
184eea4a3caSzhanglyGit      srcLoadCancel := LoadShouldCancel(Some(status.srcStatus(srcIdx).srcLoadDependency), commonIn.ldCancel)
185eea4a3caSzhanglyGit      srcCancel := srcLoadCancel || ldTransCancel
186eea4a3caSzhanglyGit    }
187ec49b127Ssinsanction    common.srcLoadDependencyNext.zip(status.srcStatus.map(_.srcLoadDependency)).foreach { case (ldsNext, lds) =>
188ec49b127Ssinsanction      ldsNext.zip(lds).foreach{ case (ldNext, ld) => ldNext := ld << 1 }
189eea4a3caSzhanglyGit    }
190aa2bcc31SzhanglyGit    if(isEnq) {
191aa2bcc31SzhanglyGit      common.validRegNext     := Mux(commonIn.enq.valid && common.enqReady, true.B, Mux(common.clear, false.B, validReg))
192aa2bcc31SzhanglyGit    } else {
19328607074Ssinsanction      common.validRegNext     := Mux(commonIn.enq.valid, true.B, Mux(common.clear, false.B, validReg))
194aa2bcc31SzhanglyGit    }
195b6279fc6SZiyue Zhang    if (params.numRegSrc == 5) {
196b6279fc6SZiyue Zhang      // only when numRegSrc == 5 need vl
197b6279fc6SZiyue Zhang      common.vlWakeupByWb     := common.srcWakeupByWB(4)
198b6279fc6SZiyue Zhang    } else {
199b6279fc6SZiyue Zhang      common.vlWakeupByWb     := false.B
200b6279fc6SZiyue Zhang    }
201aa2bcc31SzhanglyGit  }
202aa2bcc31SzhanglyGit
203aa2bcc31SzhanglyGit  class CommonIQWakeupBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
204aa2bcc31SzhanglyGit    val srcWakeupByIQ                             = Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool()))
205aa2bcc31SzhanglyGit    val srcWakeupByIQWithoutCancel                = Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool()))
206aa2bcc31SzhanglyGit    val srcWakeupByIQButCancel                    = Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool()))
207ec49b127Ssinsanction    val srcWakeupL1ExuOH                          = Vec(params.numRegSrc, ExuVec())
208ec49b127Ssinsanction    val wakeupLoadDependencyByIQVec               = Vec(params.numWakeupFromIQ, Vec(LoadPipelineWidth, UInt(LoadDependencyWidth.W)))
209ec49b127Ssinsanction    val shiftedWakeupLoadDependencyByIQVec        = Vec(params.numWakeupFromIQ, Vec(LoadPipelineWidth, UInt(LoadDependencyWidth.W)))
210aa2bcc31SzhanglyGit    val canIssueBypass                            = Bool()
211aa2bcc31SzhanglyGit  }
212aa2bcc31SzhanglyGit
213aa2bcc31SzhanglyGit  def CommonIQWakeupConnect(common: CommonWireBundle, hasIQWakeupGet: CommonIQWakeupBundle, validReg: Bool, status: Status, commonIn: CommonInBundle, isEnq: Boolean)(implicit p: Parameters, params: IssueBlockParams) = {
2148dd32220Ssinsanction    val wakeupVec: Seq[Seq[Bool]] = commonIn.wakeUpFromIQ.map{(bundle: ValidIO[IssueQueueIQWakeUpBundle]) =>
2158dd32220Ssinsanction      val psrcSrcTypeVec = status.srcStatus.map(_.psrc) zip status.srcStatus.map(_.srcType)
2168dd32220Ssinsanction      if (params.numRegSrc == 5) {
2178dd32220Ssinsanction        bundle.bits.wakeUpFromIQ(psrcSrcTypeVec.take(3)) :+
2188dd32220Ssinsanction        bundle.bits.wakeUpV0FromIQ(psrcSrcTypeVec(3)) :+
2198dd32220Ssinsanction        bundle.bits.wakeUpVlFromIQ(psrcSrcTypeVec(4))
2208dd32220Ssinsanction      }
2218dd32220Ssinsanction      else
2228dd32220Ssinsanction        bundle.bits.wakeUpFromIQ(psrcSrcTypeVec)
2238dd32220Ssinsanction    }.toSeq.transpose
224aa2bcc31SzhanglyGit    val cancelSel = params.wakeUpSourceExuIdx.zip(commonIn.wakeUpFromIQ).map { case (x, y) => commonIn.og0Cancel(x) && y.bits.is0Lat }
225aa2bcc31SzhanglyGit
226aa2bcc31SzhanglyGit    hasIQWakeupGet.srcWakeupByIQ                    := wakeupVec.map(x => VecInit(x.zip(cancelSel).map { case (wakeup, cancel) => wakeup && !cancel }))
227aa2bcc31SzhanglyGit    hasIQWakeupGet.srcWakeupByIQButCancel           := wakeupVec.map(x => VecInit(x.zip(cancelSel).map { case (wakeup, cancel) => wakeup && cancel }))
228aa2bcc31SzhanglyGit    hasIQWakeupGet.srcWakeupByIQWithoutCancel       := wakeupVec.map(x => VecInit(x))
229aa2bcc31SzhanglyGit    hasIQWakeupGet.wakeupLoadDependencyByIQVec      := commonIn.wakeUpFromIQ.map(_.bits.loadDependency).toSeq
230ec49b127Ssinsanction    hasIQWakeupGet.srcWakeupL1ExuOH.zip(status.srcStatus.map(_.srcWakeUpL1ExuOH.get)).foreach {
231aa2bcc31SzhanglyGit      case (exuOH, regExuOH) =>
232aa2bcc31SzhanglyGit        exuOH                                       := 0.U.asTypeOf(exuOH)
233aa2bcc31SzhanglyGit        params.wakeUpSourceExuIdx.foreach(x => exuOH(x) := regExuOH(x))
234aa2bcc31SzhanglyGit    }
235aa2bcc31SzhanglyGit    hasIQWakeupGet.canIssueBypass                   := validReg && !status.issued && !status.blocked &&
236aa2bcc31SzhanglyGit      VecInit(status.srcStatus.map(_.srcState).zip(hasIQWakeupGet.srcWakeupByIQWithoutCancel).zipWithIndex.map { case ((state, wakeupVec), srcIdx) =>
237a4d38a63SzhanglyGit        wakeupVec.asUInt.orR | state
238aa2bcc31SzhanglyGit      }).asUInt.andR
239aa2bcc31SzhanglyGit  }
240aa2bcc31SzhanglyGit
241aa2bcc31SzhanglyGit
242aa2bcc31SzhanglyGit  def ShiftLoadDependency(hasIQWakeupGet: CommonIQWakeupBundle)(implicit p: Parameters, params: IssueBlockParams) = {
243aa2bcc31SzhanglyGit    hasIQWakeupGet.shiftedWakeupLoadDependencyByIQVec
244aa2bcc31SzhanglyGit      .zip(hasIQWakeupGet.wakeupLoadDependencyByIQVec)
245aa2bcc31SzhanglyGit      .zip(params.wakeUpInExuSources.map(_.name)).foreach {
246aa2bcc31SzhanglyGit      case ((deps, originalDeps), name) => deps.zip(originalDeps).zipWithIndex.foreach {
247aa2bcc31SzhanglyGit        case ((dep, originalDep), deqPortIdx) =>
24880c686d5SzhanglyGit          if (params.backendParam.getLdExuIdx(params.backendParam.allExuParams.find(_.name == name).get) == deqPortIdx)
249d2fb0dcdSzhanglyGit            dep := 1.U
250aa2bcc31SzhanglyGit          else
251aa2bcc31SzhanglyGit            dep := originalDep << 1
252aa2bcc31SzhanglyGit      }
253aa2bcc31SzhanglyGit    }
254aa2bcc31SzhanglyGit  }
255aa2bcc31SzhanglyGit
2562734c4a6Sxiao feibao  def wakeUpByVf(OH: Vec[Bool])(implicit p: Parameters): Bool = {
2572734c4a6Sxiao feibao    val allExuParams = p(XSCoreParamsKey).backendParams.allExuParams
2582734c4a6Sxiao feibao    OH.zip(allExuParams).map{case (oh,e) =>
2592734c4a6Sxiao feibao      if (e.isVfExeUnit) oh else false.B
2602734c4a6Sxiao feibao    }.reduce(_ || _)
261aa2bcc31SzhanglyGit  }
262aa2bcc31SzhanglyGit
263397c0f33Ssinsanction  def EntryRegCommonConnect(common: CommonWireBundle, hasIQWakeup: Option[CommonIQWakeupBundle], validReg: Bool, entryUpdate: EntryBundle, entryReg: EntryBundle, status: Status, commonIn: CommonInBundle, isEnq: Boolean)(implicit p: Parameters, params: IssueBlockParams) = {
264aa2bcc31SzhanglyGit    val hasIQWakeupGet                                 = hasIQWakeup.getOrElse(0.U.asTypeOf(new CommonIQWakeupBundle))
265eea4a3caSzhanglyGit    val cancelByLd                                     = common.srcCancelVec.asUInt.orR
266aa2bcc31SzhanglyGit    val cancelWhenWakeup                               = VecInit(hasIQWakeupGet.srcWakeupByIQButCancel.map(_.asUInt.orR)).asUInt.orR
267f08a822fSzhanglyGit    val respIssueFail                                  = commonIn.issueResp.valid && RespType.isBlocked(commonIn.issueResp.bits.resp)
268397c0f33Ssinsanction    entryUpdate.status.robIdx                         := status.robIdx
269397c0f33Ssinsanction    entryUpdate.status.fuType                         := IQFuType.readFuType(status.fuType, params.getFuCfgs.map(_.fuType))
270397c0f33Ssinsanction    entryUpdate.status.srcStatus.zip(status.srcStatus).zipWithIndex.foreach { case ((srcStatusNext, srcStatus), srcIdx) =>
271eea4a3caSzhanglyGit      val cancel = common.srcCancelVec(srcIdx)
272aa2bcc31SzhanglyGit      val wakeupByIQ = hasIQWakeupGet.srcWakeupByIQ(srcIdx).asUInt.orR
273aa2bcc31SzhanglyGit      val wakeupByIQOH = hasIQWakeupGet.srcWakeupByIQ(srcIdx)
274aa2bcc31SzhanglyGit      val wakeup = common.srcWakeup(srcIdx)
275b6279fc6SZiyue Zhang
276b6279fc6SZiyue Zhang      val ignoreOldVd = Wire(Bool())
277b6279fc6SZiyue Zhang      val vlWakeUpByWb = common.vlWakeupByWb
278b6279fc6SZiyue Zhang      val isDependOldvd = entryReg.payload.vpu.isDependOldvd
279d8ceb649SZiyue Zhang      val isWritePartVd = entryReg.payload.vpu.isWritePartVd
280b6279fc6SZiyue Zhang      val vta = entryReg.payload.vpu.vta
281b6279fc6SZiyue Zhang      val vma = entryReg.payload.vpu.vma
282b6279fc6SZiyue Zhang      val vm = entryReg.payload.vpu.vm
283b6279fc6SZiyue Zhang      val vlIsZero = commonIn.vlIsZero
284b6279fc6SZiyue Zhang      val vlIsVlmax = commonIn.vlIsVlmax
285d8ceb649SZiyue Zhang      val ignoreTail = vlIsVlmax && (vm =/= 0.U || vma) && !isWritePartVd
286b6279fc6SZiyue Zhang      val ignoreWhole = !vlIsVlmax && (vm =/= 0.U || vma) && vta
287cc991b08SZiyue Zhang      val srcIsVec = SrcType.isVp(srcStatus.srcType)
288b6279fc6SZiyue Zhang      if (params.numVfSrc > 0 && srcIdx == 2) {
289b6279fc6SZiyue Zhang        /**
290b6279fc6SZiyue Zhang          * the src store the old vd, update it when vl is write back
291b6279fc6SZiyue Zhang          * 1. when the instruction depend on old vd, we cannot set the srctype to imm, we will update the method of uop split to avoid this situation soon
292b6279fc6SZiyue Zhang          * 2. when vl = 0, we cannot set the srctype to imm because the vd keep the old value
293b6279fc6SZiyue Zhang          * 3. when vl = vlmax, we can set srctype to imm when vta is not set
294b6279fc6SZiyue Zhang          */
295cc991b08SZiyue Zhang        ignoreOldVd := srcIsVec && vlWakeUpByWb && !isDependOldvd && !vlIsZero && (ignoreTail || ignoreWhole)
296b6279fc6SZiyue Zhang      } else {
297b6279fc6SZiyue Zhang        ignoreOldVd := false.B
298b6279fc6SZiyue Zhang      }
299b6279fc6SZiyue Zhang
300aa2bcc31SzhanglyGit      srcStatusNext.psrc                              := srcStatus.psrc
301b6279fc6SZiyue Zhang      srcStatusNext.srcType                           := Mux(ignoreOldVd, SrcType.no, srcStatus.srcType)
302b6279fc6SZiyue Zhang      srcStatusNext.srcState                          := Mux(cancel, false.B, wakeup | srcStatus.srcState | ignoreOldVd)
3034fa640e4Ssinsanction      srcStatusNext.dataSources.value                 := (if (params.inVfSchd && params.readVfRf && params.hasIQWakeUp) {
304c4cabf18Ssinsanction                                                            // Vf / Mem -> Vf
305de111a36Ssinsanction                                                            val isWakeupByMemIQ = wakeupByIQOH.zip(commonIn.wakeUpFromIQ).filter(_._2.bits.params.isMemExeUnit).map(_._1).fold(false.B)(_ || _)
306c4cabf18Ssinsanction                                                            MuxCase(srcStatus.dataSources.value, Seq(
307c4cabf18Ssinsanction                                                              (wakeupByIQ && isWakeupByMemIQ)    -> DataSource.bypass2,
308c4cabf18Ssinsanction                                                              (wakeupByIQ && !isWakeupByMemIQ)   -> DataSource.bypass,
309c4cabf18Ssinsanction                                                              srcStatus.dataSources.readBypass   -> DataSource.bypass2,
310c4cabf18Ssinsanction                                                              srcStatus.dataSources.readBypass2  -> DataSource.reg,
311aa2bcc31SzhanglyGit                                                            ))
3124fa640e4Ssinsanction                                                          }
313a75d561cSsinsanction                                                          else if (params.inMemSchd && params.readVfRf && params.hasIQWakeUp) {
314c4cabf18Ssinsanction                                                            // Vf / Int -> Mem
315c4cabf18Ssinsanction                                                            MuxCase(srcStatus.dataSources.value, Seq(
316c4cabf18Ssinsanction                                                              wakeupByIQ                                                               -> DataSource.bypass,
3172734c4a6Sxiao feibao                                                              (srcStatus.dataSources.readBypass && wakeUpByVf(srcStatus.srcWakeUpL1ExuOH.get)) -> DataSource.bypass2,
3182734c4a6Sxiao feibao                                                              (srcStatus.dataSources.readBypass && !wakeUpByVf(srcStatus.srcWakeUpL1ExuOH.get)) -> DataSource.reg,
319c4cabf18Ssinsanction                                                              srcStatus.dataSources.readBypass2                                        -> DataSource.reg,
320c4cabf18Ssinsanction                                                            ))
321a75d561cSsinsanction                                                          }
322c4cabf18Ssinsanction                                                          else {
323c4cabf18Ssinsanction                                                            MuxCase(srcStatus.dataSources.value, Seq(
324c4cabf18Ssinsanction                                                              wakeupByIQ                         -> DataSource.bypass,
325c4cabf18Ssinsanction                                                              srcStatus.dataSources.readBypass   -> DataSource.reg,
326c4cabf18Ssinsanction                                                            ))
327c4cabf18Ssinsanction                                                          })
328aa2bcc31SzhanglyGit      if(params.hasIQWakeUp) {
329ec49b127Ssinsanction        ExuOHGen(srcStatusNext.srcWakeUpL1ExuOH.get, wakeupByIQOH, hasIQWakeupGet.srcWakeupL1ExuOH(srcIdx))
330ec49b127Ssinsanction        srcStatusNext.srcLoadDependency               := Mux(wakeupByIQ,
331aa2bcc31SzhanglyGit                                                            Mux1H(wakeupByIQOH, hasIQWakeupGet.shiftedWakeupLoadDependencyByIQVec),
332ec49b127Ssinsanction                                                            common.srcLoadDependencyNext(srcIdx))
333eea4a3caSzhanglyGit      } else {
334ec49b127Ssinsanction        srcStatusNext.srcLoadDependency               := common.srcLoadDependencyNext(srcIdx)
335aa2bcc31SzhanglyGit      }
336aa2bcc31SzhanglyGit    }
337397c0f33Ssinsanction    entryUpdate.status.blocked                        := false.B
338397c0f33Ssinsanction    entryUpdate.status.issued                         := MuxCase(status.issued, Seq(
339aa2bcc31SzhanglyGit      (cancelByLd || cancelWhenWakeup || respIssueFail) -> false.B,
340aa2bcc31SzhanglyGit      commonIn.deqSel                                   -> true.B,
341aa2bcc31SzhanglyGit      !status.srcReady                                  -> false.B,
342aa2bcc31SzhanglyGit    ))
343397c0f33Ssinsanction    entryUpdate.status.firstIssue                     := commonIn.deqSel || status.firstIssue
344dd40a82bSsinsanction    entryUpdate.status.issueTimer                     := Mux(commonIn.deqSel, 0.U, Mux(status.issued, Mux(status.issueTimer === "b11".U, status.issueTimer, status.issueTimer + 1.U), "b11".U))
345397c0f33Ssinsanction    entryUpdate.status.deqPortIdx                     := Mux(commonIn.deqSel, commonIn.deqPortIdxWrite, Mux(status.issued, status.deqPortIdx, 0.U))
346397c0f33Ssinsanction    entryUpdate.imm.foreach(_                         := entryReg.imm.get)
347397c0f33Ssinsanction    entryUpdate.payload                               := entryReg.payload
348397c0f33Ssinsanction    if (params.isVecMemIQ) {
349397c0f33Ssinsanction      entryUpdate.status.vecMem.get := entryReg.status.vecMem.get
350397c0f33Ssinsanction    }
351aa2bcc31SzhanglyGit  }
352aa2bcc31SzhanglyGit
353df26db8aSsinsanction  def CommonOutConnect(commonOut: CommonOutBundle, common: CommonWireBundle, hasIQWakeup: Option[CommonIQWakeupBundle], validReg: Bool, entryUpdate: EntryBundle, entryReg: EntryBundle, status: Status, commonIn: CommonInBundle, isEnq: Boolean, isComp: Boolean)(implicit p: Parameters, params: IssueBlockParams) = {
354aa2bcc31SzhanglyGit    val hasIQWakeupGet                                 = hasIQWakeup.getOrElse(0.U.asTypeOf(new CommonIQWakeupBundle))
355aa2bcc31SzhanglyGit    commonOut.valid                                   := validReg
356df26db8aSsinsanction    commonOut.canIssue                                := (if (isComp) (common.canIssue || hasIQWakeupGet.canIssueBypass) && !common.flushed
357df26db8aSsinsanction                                                          else common.canIssue && !common.flushed)
358aa2bcc31SzhanglyGit    commonOut.fuType                                  := IQFuType.readFuType(status.fuType, params.getFuCfgs.map(_.fuType)).asUInt
359aa2bcc31SzhanglyGit    commonOut.robIdx                                  := status.robIdx
360aa2bcc31SzhanglyGit    commonOut.dataSource.zipWithIndex.foreach{ case (dataSourceOut, srcIdx) =>
361de111a36Ssinsanction      val wakeupByIQWithoutCancel = hasIQWakeupGet.srcWakeupByIQWithoutCancel(srcIdx).asUInt.orR
362de111a36Ssinsanction      val wakeupByIQWithoutCancelOH = hasIQWakeupGet.srcWakeupByIQWithoutCancel(srcIdx)
363de111a36Ssinsanction      val isWakeupByMemIQ = wakeupByIQWithoutCancelOH.zip(commonIn.wakeUpFromIQ).filter(_._2.bits.params.isMemExeUnit).map(_._1).fold(false.B)(_ || _)
364ec49b127Ssinsanction      dataSourceOut.value                             := (if (isComp)
365ec49b127Ssinsanction                                                            if (params.inVfSchd && params.readVfRf && params.hasWakeupFromMem) {
366ec49b127Ssinsanction                                                              MuxCase(status.srcStatus(srcIdx).dataSources.value, Seq(
367ec49b127Ssinsanction                                                                (wakeupByIQWithoutCancel && !isWakeupByMemIQ)  -> DataSource.forward,
368ec49b127Ssinsanction                                                                (wakeupByIQWithoutCancel && isWakeupByMemIQ)   -> DataSource.bypass,
369ec49b127Ssinsanction                                                              ))
370ec49b127Ssinsanction                                                            } else {
371ec49b127Ssinsanction                                                              MuxCase(status.srcStatus(srcIdx).dataSources.value, Seq(
372ec49b127Ssinsanction                                                                wakeupByIQWithoutCancel                        -> DataSource.forward,
373ec49b127Ssinsanction                                                              ))
374ec49b127Ssinsanction                                                            }
375ec49b127Ssinsanction                                                          else
376de111a36Ssinsanction                                                            status.srcStatus(srcIdx).dataSources.value)
377aa2bcc31SzhanglyGit    }
378aa2bcc31SzhanglyGit    commonOut.isFirstIssue                            := !status.firstIssue
379aa2bcc31SzhanglyGit    commonOut.entry.valid                             := validReg
380aa2bcc31SzhanglyGit    commonOut.entry.bits                              := entryReg
381aa2bcc31SzhanglyGit    if(isEnq) {
382aa2bcc31SzhanglyGit      commonOut.entry.bits.status                     := status
383aa2bcc31SzhanglyGit    }
384aa2bcc31SzhanglyGit    commonOut.issueTimerRead                          := status.issueTimer
385aa2bcc31SzhanglyGit    commonOut.deqPortIdxRead                          := status.deqPortIdx
386ec49b127Ssinsanction
387ec49b127Ssinsanction    if(params.hasIQWakeUp) {
388ec49b127Ssinsanction      commonOut.srcWakeUpL1ExuOH.get.zipWithIndex.foreach{ case (exuOHOut, srcIdx) =>
389ec49b127Ssinsanction        val wakeupByIQWithoutCancelOH = hasIQWakeupGet.srcWakeupByIQWithoutCancel(srcIdx)
390ec49b127Ssinsanction        if (isComp)
391ec49b127Ssinsanction          ExuOHGen(exuOHOut, wakeupByIQWithoutCancelOH, hasIQWakeupGet.srcWakeupL1ExuOH(srcIdx))
392ec49b127Ssinsanction        else
393ec49b127Ssinsanction          ExuOHGen(exuOHOut, 0.U.asTypeOf(wakeupByIQWithoutCancelOH), hasIQWakeupGet.srcWakeupL1ExuOH(srcIdx))
394ec49b127Ssinsanction      }
395ec49b127Ssinsanction    }
396ec49b127Ssinsanction
397ec49b127Ssinsanction    val srcLoadDependencyForCancel                     = Wire(chiselTypeOf(common.srcLoadDependencyNext))
398ec49b127Ssinsanction    val srcLoadDependencyOut                           = Wire(chiselTypeOf(common.srcLoadDependencyNext))
399aa2bcc31SzhanglyGit    if(params.hasIQWakeUp) {
400a4d38a63SzhanglyGit      val wakeupSrcLoadDependency                      = hasIQWakeupGet.srcWakeupByIQWithoutCancel.map(x => Mux1H(x, hasIQWakeupGet.wakeupLoadDependencyByIQVec))
401ec49b127Ssinsanction      val wakeupSrcLoadDependencyNext                  = hasIQWakeupGet.srcWakeupByIQWithoutCancel.map(x => Mux1H(x, hasIQWakeupGet.shiftedWakeupLoadDependencyByIQVec))
402ec49b127Ssinsanction      srcLoadDependencyForCancel.zipWithIndex.foreach { case (ldOut, srcIdx) =>
403ec49b127Ssinsanction        ldOut                                         := (if (isComp) Mux(hasIQWakeupGet.srcWakeupByIQWithoutCancel(srcIdx).asUInt.orR,
404ec49b127Ssinsanction                                                                      wakeupSrcLoadDependency(srcIdx),
405eea4a3caSzhanglyGit                                                                      status.srcStatus(srcIdx).srcLoadDependency)
406eea4a3caSzhanglyGit                                                          else status.srcStatus(srcIdx).srcLoadDependency)
407aa2bcc31SzhanglyGit      }
408ec49b127Ssinsanction      srcLoadDependencyOut.zipWithIndex.foreach { case (ldOut, srcIdx) =>
409ec49b127Ssinsanction        ldOut                                         := (if (isComp) Mux(hasIQWakeupGet.srcWakeupByIQWithoutCancel(srcIdx).asUInt.orR,
410ec49b127Ssinsanction                                                                      wakeupSrcLoadDependencyNext(srcIdx),
411ec49b127Ssinsanction                                                                      common.srcLoadDependencyNext(srcIdx))
412ec49b127Ssinsanction                                                          else common.srcLoadDependencyNext(srcIdx))
413ec49b127Ssinsanction      }
414eea4a3caSzhanglyGit    } else {
415ec49b127Ssinsanction      srcLoadDependencyForCancel                      := status.srcStatus.map(_.srcLoadDependency)
416ec49b127Ssinsanction      srcLoadDependencyOut                            := common.srcLoadDependencyNext
417eea4a3caSzhanglyGit    }
418ec49b127Ssinsanction    commonOut.cancelBypass                            := srcLoadDependencyForCancel.map(x => LoadShouldCancel(Some(x), commonIn.ldCancel)).reduce(_ | _)
419ec49b127Ssinsanction    commonOut.entry.bits.status.srcStatus.map(_.srcLoadDependency).zipWithIndex.foreach { case (ldOut, srcIdx) =>
420ec49b127Ssinsanction      ldOut                                           := srcLoadDependencyOut(srcIdx)
421eea4a3caSzhanglyGit    }
422ec49b127Ssinsanction
423397c0f33Ssinsanction    commonOut.enqReady                                := common.enqReady
424397c0f33Ssinsanction    commonOut.transEntry.valid                        := validReg && !common.flushed && !common.deqSuccess
425397c0f33Ssinsanction    commonOut.transEntry.bits                         := entryUpdate
426a6938b17Ssinsanction    // debug
427a6938b17Ssinsanction    commonOut.entryInValid                            := commonIn.enq.valid
428a6938b17Ssinsanction    commonOut.entryOutDeqValid                        := validReg && (common.flushed || common.deqSuccess)
429a6938b17Ssinsanction    commonOut.entryOutTransValid                      := validReg && commonIn.transSel && !(common.flushed || common.deqSuccess)
430e3ef3537Ssinsanction    commonOut.perfWakeupByWB                          := common.srcWakeupByWB.zip(status.srcStatus).map{ case (w, s) => w && SrcState.isBusy(s.srcState) && validReg }
431e3ef3537Ssinsanction    if (params.hasIQWakeUp) {
432ec49b127Ssinsanction      commonOut.perfLdCancel.get                      := common.srcCancelVec.map(_ && validReg)
433e3ef3537Ssinsanction      commonOut.perfOg0Cancel.get                     := hasIQWakeupGet.srcWakeupByIQButCancel.map(_.asUInt.orR && validReg)
434e3ef3537Ssinsanction      commonOut.perfWakeupByIQ.get                    := hasIQWakeupGet.srcWakeupByIQ.map(x => VecInit(x.map(_ && validReg)))
435e3ef3537Ssinsanction    }
436e3ef3537Ssinsanction    // vecMem
437aa2bcc31SzhanglyGit    if (params.isVecMemIQ) {
43899944b79Ssinsanction      commonOut.uopIdx.get                            := entryReg.payload.uopIdx
439aa2bcc31SzhanglyGit    }
440aa2bcc31SzhanglyGit  }
441aa2bcc31SzhanglyGit
442e07131b2Ssinsanction  def EntryVecMemConnect(commonIn: CommonInBundle, common: CommonWireBundle, validReg: Bool, entryReg: EntryBundle, entryRegNext: EntryBundle, entryUpdate: EntryBundle)(implicit p: Parameters, params: IssueBlockParams) = {
44399944b79Ssinsanction    val fromLsq                                        = commonIn.fromLsq.get
44499944b79Ssinsanction    val vecMemStatus                                   = entryReg.status.vecMem.get
44599944b79Ssinsanction    val vecMemStatusUpdate                             = entryUpdate.status.vecMem.get
44699944b79Ssinsanction    vecMemStatusUpdate                                := vecMemStatus
44799944b79Ssinsanction
448e07131b2Ssinsanction    // update blocked
449b0186a50Sweiding liu    entryUpdate.status.blocked                        := false.B
45099944b79Ssinsanction  }
45199944b79Ssinsanction
452ec49b127Ssinsanction  def ExuOHGen(exuOH: Vec[Bool], wakeupByIQOH: Vec[Bool], regSrcExuOH: Vec[Bool])(implicit p: Parameters, params: IssueBlockParams) = {
453aa2bcc31SzhanglyGit    val origExuOH = 0.U.asTypeOf(exuOH)
454aa2bcc31SzhanglyGit    when(wakeupByIQOH.asUInt.orR) {
455aa2bcc31SzhanglyGit      origExuOH := Mux1H(wakeupByIQOH, params.wakeUpSourceExuIdx.map(x => MathUtils.IntToOH(x).U(p(XSCoreParamsKey).backendParams.numExu.W)).toSeq).asBools
456aa2bcc31SzhanglyGit    }.otherwise {
457aa2bcc31SzhanglyGit      origExuOH := regSrcExuOH
458aa2bcc31SzhanglyGit    }
459aa2bcc31SzhanglyGit    exuOH := 0.U.asTypeOf(exuOH)
460aa2bcc31SzhanglyGit    params.wakeUpSourceExuIdx.foreach(x => exuOH(x) := origExuOH(x))
461aa2bcc31SzhanglyGit  }
462aa2bcc31SzhanglyGit
463aa2bcc31SzhanglyGit  object IQFuType {
464aa2bcc31SzhanglyGit    def num = FuType.num
465aa2bcc31SzhanglyGit
466aa2bcc31SzhanglyGit    def apply() = Vec(num, Bool())
467aa2bcc31SzhanglyGit
468aa2bcc31SzhanglyGit    def readFuType(fuType: Vec[Bool], fus: Seq[FuType.OHType]): Vec[Bool] = {
469aa2bcc31SzhanglyGit      val res = 0.U.asTypeOf(fuType)
470aa2bcc31SzhanglyGit      fus.foreach(x => res(x.id) := fuType(x.id))
471aa2bcc31SzhanglyGit      res
472aa2bcc31SzhanglyGit    }
473aa2bcc31SzhanglyGit  }
4744fa640e4Ssinsanction
4754fa640e4Ssinsanction  class EnqDelayInBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
4764fa640e4Ssinsanction    //wakeup
4774fa640e4Ssinsanction    val wakeUpFromWB: MixedVec[ValidIO[IssueQueueWBWakeUpBundle]] = Flipped(params.genWBWakeUpSinkValidBundle)
4784fa640e4Ssinsanction    val wakeUpFromIQ: MixedVec[ValidIO[IssueQueueIQWakeUpBundle]] = Flipped(params.genIQWakeUpSinkValidBundle)
4794fa640e4Ssinsanction    //cancel
48091f31488Sxiaofeibao-xjtu    val srcLoadDependency     = Input(Vec(params.numRegSrc, Vec(LoadPipelineWidth, UInt(LoadDependencyWidth.W))))
4814fa640e4Ssinsanction    val og0Cancel             = Input(ExuOH(backendParams.numExu))
4824fa640e4Ssinsanction    val ldCancel              = Vec(backendParams.LdExuCnt, Flipped(new LoadCancelIO))
4834fa640e4Ssinsanction  }
4844fa640e4Ssinsanction
4854fa640e4Ssinsanction  class EnqDelayOutBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
4864fa640e4Ssinsanction    val srcWakeUpByWB: Vec[UInt]                            = Vec(params.numRegSrc, SrcState())
4874fa640e4Ssinsanction    val srcWakeUpByIQ: Vec[UInt]                            = Vec(params.numRegSrc, SrcState())
4884fa640e4Ssinsanction    val srcWakeUpByIQVec: Vec[Vec[Bool]]                    = Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool()))
48991f31488Sxiaofeibao-xjtu    val srcCancelByLoad: Vec[Bool]                          = Vec(params.numRegSrc, Bool())
490ec49b127Ssinsanction    val shiftedWakeupLoadDependencyByIQVec: Vec[Vec[UInt]]  = Vec(params.numWakeupFromIQ, Vec(LoadPipelineWidth, UInt(LoadDependencyWidth.W)))
4914fa640e4Ssinsanction  }
4924fa640e4Ssinsanction
4934fa640e4Ssinsanction  def EnqDelayWakeupConnect(enqDelayIn: EnqDelayInBundle, enqDelayOut: EnqDelayOutBundle, status: Status, delay: Int)(implicit p: Parameters, params: IssueBlockParams) = {
4944fa640e4Ssinsanction    enqDelayOut.srcWakeUpByWB.zipWithIndex.foreach { case (wakeup, i) =>
4958dd32220Ssinsanction      wakeup := enqDelayIn.wakeUpFromWB.map{ x =>
4968dd32220Ssinsanction        if (i == 3)
4978dd32220Ssinsanction          x.bits.wakeUpV0((status.srcStatus(i).psrc, status.srcStatus(i).srcType), x.valid)
4988dd32220Ssinsanction        else if (i == 4)
4998dd32220Ssinsanction          x.bits.wakeUpVl((status.srcStatus(i).psrc, status.srcStatus(i).srcType), x.valid)
5008dd32220Ssinsanction        else
5018dd32220Ssinsanction          x.bits.wakeUp(Seq((status.srcStatus(i).psrc, status.srcStatus(i).srcType)), x.valid).head
5028dd32220Ssinsanction      }.reduce(_ || _)
5034fa640e4Ssinsanction    }
5044fa640e4Ssinsanction
5054fa640e4Ssinsanction    if (params.hasIQWakeUp) {
5068dd32220Ssinsanction      val wakeupVec: IndexedSeq[IndexedSeq[Bool]] = enqDelayIn.wakeUpFromIQ.map{ x =>
5078dd32220Ssinsanction        val psrcSrcTypeVec = status.srcStatus.map(_.psrc) zip status.srcStatus.map(_.srcType)
5088dd32220Ssinsanction        if (params.numRegSrc == 5) {
5098dd32220Ssinsanction          x.bits.wakeUpFromIQ(psrcSrcTypeVec.take(3)) :+
5108dd32220Ssinsanction          x.bits.wakeUpV0FromIQ(psrcSrcTypeVec(3)) :+
5118dd32220Ssinsanction          x.bits.wakeUpVlFromIQ(psrcSrcTypeVec(4))
5128dd32220Ssinsanction        }
5138dd32220Ssinsanction        else
5148dd32220Ssinsanction          x.bits.wakeUpFromIQ(psrcSrcTypeVec)
5158dd32220Ssinsanction      }.toIndexedSeq.transpose
5164fa640e4Ssinsanction      val cancelSel = params.wakeUpSourceExuIdx.zip(enqDelayIn.wakeUpFromIQ).map{ case (x, y) => enqDelayIn.og0Cancel(x) && y.bits.is0Lat}
5174fa640e4Ssinsanction      enqDelayOut.srcWakeUpByIQVec := wakeupVec.map(x => VecInit(x.zip(cancelSel).map { case (wakeup, cancel) => wakeup && !cancel }))
5184fa640e4Ssinsanction    } else {
5194fa640e4Ssinsanction      enqDelayOut.srcWakeUpByIQVec := 0.U.asTypeOf(enqDelayOut.srcWakeUpByIQVec)
5204fa640e4Ssinsanction    }
5214fa640e4Ssinsanction
5224fa640e4Ssinsanction    if (params.hasIQWakeUp) {
5234fa640e4Ssinsanction      enqDelayOut.srcWakeUpByIQ.zipWithIndex.foreach { case (wakeup, i) =>
5244fa640e4Ssinsanction        val ldTransCancel = Mux1H(enqDelayOut.srcWakeUpByIQVec(i), enqDelayIn.wakeUpFromIQ.map(_.bits.loadDependency).map(dp => LoadShouldCancel(Some(dp), enqDelayIn.ldCancel)).toSeq)
5254fa640e4Ssinsanction        wakeup := enqDelayOut.srcWakeUpByIQVec(i).asUInt.orR && !ldTransCancel
5264fa640e4Ssinsanction      }
52791f31488Sxiaofeibao-xjtu      enqDelayOut.srcCancelByLoad.zipWithIndex.foreach { case (ldCancel, i) =>
52891f31488Sxiaofeibao-xjtu        ldCancel := LoadShouldCancel(Some(enqDelayIn.srcLoadDependency(i)), enqDelayIn.ldCancel)
52991f31488Sxiaofeibao-xjtu      }
5304fa640e4Ssinsanction    } else {
5314fa640e4Ssinsanction      enqDelayOut.srcWakeUpByIQ := 0.U.asTypeOf(enqDelayOut.srcWakeUpByIQ)
53291f31488Sxiaofeibao-xjtu      enqDelayOut.srcCancelByLoad := 0.U.asTypeOf(enqDelayOut.srcCancelByLoad)
5334fa640e4Ssinsanction    }
5344fa640e4Ssinsanction
5354fa640e4Ssinsanction    enqDelayOut.shiftedWakeupLoadDependencyByIQVec.zip(enqDelayIn.wakeUpFromIQ.map(_.bits.loadDependency))
5364fa640e4Ssinsanction      .zip(params.wakeUpInExuSources.map(_.name)).foreach { case ((dps, ldps), name) =>
5374fa640e4Ssinsanction      dps.zip(ldps).zipWithIndex.foreach { case ((dp, ldp), deqPortIdx) =>
5384fa640e4Ssinsanction        if (params.backendParam.getLdExuIdx(params.backendParam.allExuParams.find(_.name == name).get) == deqPortIdx)
539ec49b127Ssinsanction          dp := 1.U << (delay - 1)
5404fa640e4Ssinsanction        else
5414fa640e4Ssinsanction          dp := ldp << delay
5424fa640e4Ssinsanction      }
5434fa640e4Ssinsanction    }
5444fa640e4Ssinsanction  }
545aa2bcc31SzhanglyGit}
546