1aa2bcc31SzhanglyGitpackage xiangshan.backend.issue 2aa2bcc31SzhanglyGit 3aa2bcc31SzhanglyGitimport org.chipsalliance.cde.config.Parameters 4aa2bcc31SzhanglyGitimport chisel3._ 5aa2bcc31SzhanglyGitimport chisel3.util._ 6aa2bcc31SzhanglyGitimport utils.{MathUtils, OptionWrapper} 7aa2bcc31SzhanglyGitimport utility.HasCircularQueuePtrHelper 8aa2bcc31SzhanglyGitimport xiangshan._ 9aa2bcc31SzhanglyGitimport xiangshan.backend.Bundles._ 10aa2bcc31SzhanglyGitimport xiangshan.backend.datapath.DataSource 11aa2bcc31SzhanglyGitimport xiangshan.backend.fu.FuType 12aa2bcc31SzhanglyGitimport xiangshan.backend.rob.RobPtr 13aa2bcc31SzhanglyGitimport xiangshan.mem.{MemWaitUpdateReq, SqPtr, LqPtr} 14aa2bcc31SzhanglyGit 15aa2bcc31SzhanglyGitobject EntryBundles extends HasCircularQueuePtrHelper { 16aa2bcc31SzhanglyGit 17aa2bcc31SzhanglyGit class Status(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 18aa2bcc31SzhanglyGit //basic status 19aa2bcc31SzhanglyGit val robIdx = new RobPtr 20aa2bcc31SzhanglyGit val fuType = IQFuType() 21aa2bcc31SzhanglyGit //src status 22aa2bcc31SzhanglyGit val srcStatus = Vec(params.numRegSrc, new SrcStatus) 23aa2bcc31SzhanglyGit //issue status 24aa2bcc31SzhanglyGit val blocked = Bool() 25aa2bcc31SzhanglyGit val issued = Bool() 26aa2bcc31SzhanglyGit val firstIssue = Bool() 27aa2bcc31SzhanglyGit val issueTimer = UInt(2.W) 28aa2bcc31SzhanglyGit val deqPortIdx = UInt(1.W) 29aa2bcc31SzhanglyGit //mem status 30aa2bcc31SzhanglyGit val mem = OptionWrapper(params.isMemAddrIQ, new StatusMemPart) 31aa2bcc31SzhanglyGit //vector mem status 32aa2bcc31SzhanglyGit val vecMem = OptionWrapper(params.isVecMemIQ, new StatusVecMemPart) 33aa2bcc31SzhanglyGit 34aa2bcc31SzhanglyGit def srcReady: Bool = { 35aa2bcc31SzhanglyGit VecInit(srcStatus.map(_.srcState).map(SrcState.isReady)).asUInt.andR 36aa2bcc31SzhanglyGit } 37aa2bcc31SzhanglyGit 38aa2bcc31SzhanglyGit def canIssue: Bool = { 39aa2bcc31SzhanglyGit srcReady && !issued && !blocked 40aa2bcc31SzhanglyGit } 41aa2bcc31SzhanglyGit 42eea4a3caSzhanglyGit def mergedLoadDependency: Vec[UInt] = { 43eea4a3caSzhanglyGit srcStatus.map(_.srcLoadDependency).reduce({ 44aa2bcc31SzhanglyGit case (l: Vec[UInt], r: Vec[UInt]) => VecInit(l.zip(r).map(x => x._1 | x._2)) 45eea4a3caSzhanglyGit }: (Vec[UInt], Vec[UInt]) => Vec[UInt]) 46aa2bcc31SzhanglyGit } 47aa2bcc31SzhanglyGit } 48aa2bcc31SzhanglyGit 49aa2bcc31SzhanglyGit class SrcStatus(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 50aa2bcc31SzhanglyGit val psrc = UInt(params.rdPregIdxWidth.W) 51aa2bcc31SzhanglyGit val srcType = SrcType() 52aa2bcc31SzhanglyGit val srcState = SrcState() 53aa2bcc31SzhanglyGit val dataSources = DataSource() 54eea4a3caSzhanglyGit val srcLoadDependency = Vec(LoadPipelineWidth, UInt(3.W)) 55aa2bcc31SzhanglyGit val srcTimer = OptionWrapper(params.hasIQWakeUp, UInt(3.W)) 56aa2bcc31SzhanglyGit val srcWakeUpL1ExuOH = OptionWrapper(params.hasIQWakeUp, ExuVec()) 57aa2bcc31SzhanglyGit } 58aa2bcc31SzhanglyGit 59aa2bcc31SzhanglyGit class StatusMemPart(implicit p:Parameters) extends Bundle { 60aa2bcc31SzhanglyGit val waitForSqIdx = new SqPtr // generated by store data valid check 61aa2bcc31SzhanglyGit val waitForRobIdx = new RobPtr // generated by store set 62aa2bcc31SzhanglyGit val waitForStd = Bool() 63aa2bcc31SzhanglyGit val strictWait = Bool() 64aa2bcc31SzhanglyGit val sqIdx = new SqPtr 65aa2bcc31SzhanglyGit } 66aa2bcc31SzhanglyGit 67aa2bcc31SzhanglyGit class StatusVecMemPart(implicit p:Parameters, params: IssueBlockParams) extends Bundle { 68aa2bcc31SzhanglyGit val sqIdx = new SqPtr 69aa2bcc31SzhanglyGit val lqIdx = new LqPtr 70aa2bcc31SzhanglyGit val uopIdx = UopIdx() 71aa2bcc31SzhanglyGit } 72aa2bcc31SzhanglyGit 73aa2bcc31SzhanglyGit class EntryDeqRespBundle(implicit p: Parameters, params: IssueBlockParams) extends Bundle { 74aa2bcc31SzhanglyGit val robIdx = new RobPtr 75f08a822fSzhanglyGit val resp = RespType() 76aa2bcc31SzhanglyGit val fuType = FuType() 77aa2bcc31SzhanglyGit val uopIdx = OptionWrapper(params.isVecMemIQ, Output(UopIdx())) 78aa2bcc31SzhanglyGit } 79aa2bcc31SzhanglyGit 80f08a822fSzhanglyGit object RespType { 81f08a822fSzhanglyGit def apply() = UInt(2.W) 82f08a822fSzhanglyGit 83f08a822fSzhanglyGit def isBlocked(resp: UInt) = { 84f08a822fSzhanglyGit resp === block 85f08a822fSzhanglyGit } 86f08a822fSzhanglyGit 87f08a822fSzhanglyGit def succeed(resp: UInt) = { 88f08a822fSzhanglyGit resp === success 89f08a822fSzhanglyGit } 90f08a822fSzhanglyGit 91f08a822fSzhanglyGit val block = "b00".U 92f08a822fSzhanglyGit val uncertain = "b01".U 93f08a822fSzhanglyGit val success = "b11".U 94f08a822fSzhanglyGit } 95f08a822fSzhanglyGit 96aa2bcc31SzhanglyGit class EntryBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 97aa2bcc31SzhanglyGit val status = new Status() 98aa2bcc31SzhanglyGit val imm = OptionWrapper(params.needImm, UInt((params.deqImmTypesMaxLen).W)) 99aa2bcc31SzhanglyGit val payload = new DynInst() 100aa2bcc31SzhanglyGit } 101aa2bcc31SzhanglyGit 102aa2bcc31SzhanglyGit class CommonInBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 103aa2bcc31SzhanglyGit val flush = Flipped(ValidIO(new Redirect)) 104aa2bcc31SzhanglyGit val enq = Flipped(ValidIO(new EntryBundle)) 105aa2bcc31SzhanglyGit //wakeup 106aa2bcc31SzhanglyGit val wakeUpFromWB: MixedVec[ValidIO[IssueQueueWBWakeUpBundle]] = Flipped(params.genWBWakeUpSinkValidBundle) 107aa2bcc31SzhanglyGit val wakeUpFromIQ: MixedVec[ValidIO[IssueQueueIQWakeUpBundle]] = Flipped(params.genIQWakeUpSinkValidBundle) 108aa2bcc31SzhanglyGit //cancel 109aa2bcc31SzhanglyGit val og0Cancel = Input(ExuOH(backendParams.numExu)) 110aa2bcc31SzhanglyGit val og1Cancel = Input(ExuOH(backendParams.numExu)) 111aa2bcc31SzhanglyGit val ldCancel = Vec(backendParams.LdExuCnt, Flipped(new LoadCancelIO)) 112aa2bcc31SzhanglyGit //deq sel 113aa2bcc31SzhanglyGit val deqSel = Input(Bool()) 114aa2bcc31SzhanglyGit val deqPortIdxWrite = Input(UInt(1.W)) 115aa2bcc31SzhanglyGit val issueResp = Flipped(ValidIO(new EntryDeqRespBundle)) 116aa2bcc31SzhanglyGit //trans sel 117aa2bcc31SzhanglyGit val transSel = Input(Bool()) 118aa2bcc31SzhanglyGit // mem only 119aa2bcc31SzhanglyGit val fromMem = OptionWrapper(params.isMemAddrIQ, new Bundle { 120aa2bcc31SzhanglyGit val stIssuePtr = Input(new SqPtr) 121aa2bcc31SzhanglyGit val memWaitUpdateReq = Flipped(new MemWaitUpdateReq) 122aa2bcc31SzhanglyGit }) 123aa2bcc31SzhanglyGit // vector mem only 124aa2bcc31SzhanglyGit val fromLsq = OptionWrapper(params.isVecMemIQ, new Bundle { 125aa2bcc31SzhanglyGit val sqDeqPtr = Input(new SqPtr) 126aa2bcc31SzhanglyGit val lqDeqPtr = Input(new LqPtr) 127aa2bcc31SzhanglyGit }) 128aa2bcc31SzhanglyGit } 129aa2bcc31SzhanglyGit 130aa2bcc31SzhanglyGit class CommonOutBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 131aa2bcc31SzhanglyGit //status 132aa2bcc31SzhanglyGit val valid = Output(Bool()) 133aa2bcc31SzhanglyGit val canIssue = Output(Bool()) 134aa2bcc31SzhanglyGit val fuType = Output(FuType()) 135aa2bcc31SzhanglyGit val robIdx = Output(new RobPtr) 136aa2bcc31SzhanglyGit val uopIdx = OptionWrapper(params.isVecMemIQ, Output(UopIdx())) 137aa2bcc31SzhanglyGit //src 138aa2bcc31SzhanglyGit val dataSource = Vec(params.numRegSrc, Output(DataSource())) 139eea4a3caSzhanglyGit val srcLoadDependency = Vec(params.numRegSrc, Output(Vec(LoadPipelineWidth, UInt(3.W)))) 140aa2bcc31SzhanglyGit val srcWakeUpL1ExuOH = OptionWrapper(params.hasIQWakeUp, Vec(params.numRegSrc, Output(ExuVec()))) 141aa2bcc31SzhanglyGit val srcTimer = OptionWrapper(params.hasIQWakeUp, Vec(params.numRegSrc, Output(UInt(3.W)))) 142aa2bcc31SzhanglyGit //deq 143aa2bcc31SzhanglyGit val isFirstIssue = Output(Bool()) 144aa2bcc31SzhanglyGit val entry = ValidIO(new EntryBundle) 145aa2bcc31SzhanglyGit val deqPortIdxRead = Output(UInt(1.W)) 146aa2bcc31SzhanglyGit val issueTimerRead = Output(UInt(2.W)) 147397c0f33Ssinsanction //trans 148397c0f33Ssinsanction val enqReady = Output(Bool()) 149397c0f33Ssinsanction val transEntry = ValidIO(new EntryBundle) 150aa2bcc31SzhanglyGit // debug 151aa2bcc31SzhanglyGit val cancel = OptionWrapper(params.hasIQWakeUp, Output(Bool())) 152a6938b17Ssinsanction val entryInValid = Output(Bool()) 153a6938b17Ssinsanction val entryOutDeqValid = Output(Bool()) 154a6938b17Ssinsanction val entryOutTransValid = Output(Bool()) 155aa2bcc31SzhanglyGit } 156aa2bcc31SzhanglyGit 157aa2bcc31SzhanglyGit class CommonWireBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 158aa2bcc31SzhanglyGit val validRegNext = Bool() 159aa2bcc31SzhanglyGit val flushed = Bool() 160aa2bcc31SzhanglyGit val clear = Bool() 161aa2bcc31SzhanglyGit val canIssue = Bool() 162aa2bcc31SzhanglyGit val enqReady = Bool() 163aa2bcc31SzhanglyGit val deqSuccess = Bool() 164aa2bcc31SzhanglyGit val srcWakeup = Vec(params.numRegSrc, Bool()) 165aa2bcc31SzhanglyGit val srcWakeupByWB = Vec(params.numRegSrc, Bool()) 166eea4a3caSzhanglyGit val srcLoadDependencyOut = Vec(params.numRegSrc, Vec(LoadPipelineWidth, UInt(3.W))) 167eea4a3caSzhanglyGit val srcCancelVec = Vec(params.numRegSrc, Bool()) 168eea4a3caSzhanglyGit val srcLoadCancelVec = Vec(params.numRegSrc, Bool()) 169aa2bcc31SzhanglyGit } 170aa2bcc31SzhanglyGit 1710dfdb52aSzhanglyGit def CommonWireConnect(common: CommonWireBundle, hasIQWakeup: Option[CommonIQWakeupBundle], validReg: Bool, status: Status, commonIn: CommonInBundle, isEnq: Boolean)(implicit p: Parameters, params: IssueBlockParams) = { 172aa2bcc31SzhanglyGit val hasIQWakeupGet = hasIQWakeup.getOrElse(0.U.asTypeOf(new CommonIQWakeupBundle)) 1730dfdb52aSzhanglyGit common.flushed := status.robIdx.needFlush(commonIn.flush) 174f08a822fSzhanglyGit common.deqSuccess := commonIn.issueResp.valid && RespType.succeed(commonIn.issueResp.bits.resp) && !common.srcLoadCancelVec.asUInt.orR 175aa2bcc31SzhanglyGit common.srcWakeup := common.srcWakeupByWB.zip(hasIQWakeupGet.srcWakeupByIQ).map { case (x, y) => x || y.asUInt.orR } 1760dfdb52aSzhanglyGit common.srcWakeupByWB := commonIn.wakeUpFromWB.map(bundle => bundle.bits.wakeUp(status.srcStatus.map(_.psrc) zip status.srcStatus.map(_.srcType), bundle.valid)).transpose.map(x => VecInit(x.toSeq).asUInt.orR).toSeq 177a4d38a63SzhanglyGit common.canIssue := validReg && status.canIssue 178aa2bcc31SzhanglyGit common.enqReady := !validReg || common.clear 17928607074Ssinsanction common.clear := common.flushed || common.deqSuccess || commonIn.transSel 180eea4a3caSzhanglyGit common.srcCancelVec.zip(common.srcLoadCancelVec).zip(hasIQWakeupGet.srcWakeupByIQWithoutCancel).zipWithIndex.foreach { case (((srcCancel, srcLoadCancel), wakeUpByIQVec), srcIdx) => 181eea4a3caSzhanglyGit val ldTransCancel = if(params.hasIQWakeUp) Mux1H(wakeUpByIQVec, hasIQWakeupGet.wakeupLoadDependencyByIQVec.map(dep => LoadShouldCancel(Some(dep), commonIn.ldCancel))) else false.B 182eea4a3caSzhanglyGit srcLoadCancel := LoadShouldCancel(Some(status.srcStatus(srcIdx).srcLoadDependency), commonIn.ldCancel) 183eea4a3caSzhanglyGit srcCancel := srcLoadCancel || ldTransCancel 184eea4a3caSzhanglyGit } 185eea4a3caSzhanglyGit common.srcLoadDependencyOut.zip(hasIQWakeupGet.srcWakeupByIQ).zip(status.srcStatus.map(_.srcLoadDependency)).foreach { 186eea4a3caSzhanglyGit case ((loadDependencyOut, wakeUpByIQVec), loadDependency) => 187eea4a3caSzhanglyGit if(params.hasIQWakeUp) { 188eea4a3caSzhanglyGit loadDependencyOut := Mux(wakeUpByIQVec.asUInt.orR, Mux1H(wakeUpByIQVec, hasIQWakeupGet.shiftedWakeupLoadDependencyByIQBypassVec), loadDependency) 189eea4a3caSzhanglyGit } else { 190eea4a3caSzhanglyGit loadDependencyOut := loadDependency 191eea4a3caSzhanglyGit } 192eea4a3caSzhanglyGit 193eea4a3caSzhanglyGit } 194aa2bcc31SzhanglyGit if(isEnq) { 195aa2bcc31SzhanglyGit common.validRegNext := Mux(commonIn.enq.valid && common.enqReady, true.B, Mux(common.clear, false.B, validReg)) 196aa2bcc31SzhanglyGit } else { 19728607074Ssinsanction common.validRegNext := Mux(commonIn.enq.valid, true.B, Mux(common.clear, false.B, validReg)) 198aa2bcc31SzhanglyGit } 199aa2bcc31SzhanglyGit } 200aa2bcc31SzhanglyGit 201aa2bcc31SzhanglyGit class CommonIQWakeupBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 202aa2bcc31SzhanglyGit val srcWakeupByIQ = Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool())) 203aa2bcc31SzhanglyGit val srcWakeupByIQWithoutCancel = Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool())) 204aa2bcc31SzhanglyGit val srcWakeupByIQButCancel = Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool())) 205aa2bcc31SzhanglyGit val regSrcWakeupL1ExuOH = Vec(params.numRegSrc, ExuVec()) 206aa2bcc31SzhanglyGit val srcWakeupL1ExuOHOut = Vec(params.numRegSrc, ExuVec()) 207aa2bcc31SzhanglyGit val wakeupLoadDependencyByIQVec = Vec(params.numWakeupFromIQ, Vec(LoadPipelineWidth, UInt(3.W))) 208aa2bcc31SzhanglyGit val shiftedWakeupLoadDependencyByIQVec = Vec(params.numWakeupFromIQ, Vec(LoadPipelineWidth, UInt(3.W))) 209aa2bcc31SzhanglyGit val shiftedWakeupLoadDependencyByIQBypassVec = Vec(params.numWakeupFromIQ, Vec(LoadPipelineWidth, UInt(3.W))) 210aa2bcc31SzhanglyGit val cancelVec = Vec(params.numRegSrc, Bool()) 211aa2bcc31SzhanglyGit val canIssueBypass = Bool() 212aa2bcc31SzhanglyGit } 213aa2bcc31SzhanglyGit 214aa2bcc31SzhanglyGit def CommonIQWakeupConnect(common: CommonWireBundle, hasIQWakeupGet: CommonIQWakeupBundle, validReg: Bool, status: Status, commonIn: CommonInBundle, isEnq: Boolean)(implicit p: Parameters, params: IssueBlockParams) = { 215aa2bcc31SzhanglyGit val wakeupVec: Seq[Seq[Bool]] = commonIn.wakeUpFromIQ.map((bundle: ValidIO[IssueQueueIQWakeUpBundle]) => 216aa2bcc31SzhanglyGit bundle.bits.wakeUpFromIQ(status.srcStatus.map(_.psrc) zip status.srcStatus.map(_.srcType)) 217aa2bcc31SzhanglyGit ).toSeq.transpose 218aa2bcc31SzhanglyGit val cancelSel = params.wakeUpSourceExuIdx.zip(commonIn.wakeUpFromIQ).map { case (x, y) => commonIn.og0Cancel(x) && y.bits.is0Lat } 219aa2bcc31SzhanglyGit 220eea4a3caSzhanglyGit hasIQWakeupGet.cancelVec := common.srcCancelVec 221aa2bcc31SzhanglyGit hasIQWakeupGet.srcWakeupByIQ := wakeupVec.map(x => VecInit(x.zip(cancelSel).map { case (wakeup, cancel) => wakeup && !cancel })) 222aa2bcc31SzhanglyGit hasIQWakeupGet.srcWakeupByIQButCancel := wakeupVec.map(x => VecInit(x.zip(cancelSel).map { case (wakeup, cancel) => wakeup && cancel })) 223aa2bcc31SzhanglyGit hasIQWakeupGet.srcWakeupByIQWithoutCancel := wakeupVec.map(x => VecInit(x)) 224aa2bcc31SzhanglyGit hasIQWakeupGet.wakeupLoadDependencyByIQVec := commonIn.wakeUpFromIQ.map(_.bits.loadDependency).toSeq 225aa2bcc31SzhanglyGit hasIQWakeupGet.regSrcWakeupL1ExuOH.zip(status.srcStatus.map(_.srcWakeUpL1ExuOH.get)).foreach { 226aa2bcc31SzhanglyGit case (exuOH, regExuOH) => 227aa2bcc31SzhanglyGit exuOH := 0.U.asTypeOf(exuOH) 228aa2bcc31SzhanglyGit params.wakeUpSourceExuIdx.foreach(x => exuOH(x) := regExuOH(x)) 229aa2bcc31SzhanglyGit } 230aa2bcc31SzhanglyGit hasIQWakeupGet.srcWakeupL1ExuOHOut.zip(hasIQWakeupGet.srcWakeupByIQWithoutCancel).zip(common.srcWakeup).zipWithIndex.foreach { 231aa2bcc31SzhanglyGit case (((exuOH: Vec[Bool], wakeUpByIQOH: Vec[Bool]), wakeUp: Bool), srcIdx) => 232aa2bcc31SzhanglyGit if(isEnq) { 233aa2bcc31SzhanglyGit ExuOHGen(exuOH, wakeUpByIQOH, wakeUp, status.srcStatus(srcIdx).srcWakeUpL1ExuOH.get) 234aa2bcc31SzhanglyGit } else { 235aa2bcc31SzhanglyGit ExuOHGen(exuOH, wakeUpByIQOH, wakeUp, hasIQWakeupGet.regSrcWakeupL1ExuOH(srcIdx)) 236aa2bcc31SzhanglyGit } 237aa2bcc31SzhanglyGit } 238aa2bcc31SzhanglyGit hasIQWakeupGet.canIssueBypass := validReg && !status.issued && !status.blocked && 239aa2bcc31SzhanglyGit VecInit(status.srcStatus.map(_.srcState).zip(hasIQWakeupGet.srcWakeupByIQWithoutCancel).zipWithIndex.map { case ((state, wakeupVec), srcIdx) => 240a4d38a63SzhanglyGit wakeupVec.asUInt.orR | state 241aa2bcc31SzhanglyGit }).asUInt.andR 242aa2bcc31SzhanglyGit } 243aa2bcc31SzhanglyGit 244aa2bcc31SzhanglyGit 245aa2bcc31SzhanglyGit def ShiftLoadDependency(hasIQWakeupGet: CommonIQWakeupBundle)(implicit p: Parameters, params: IssueBlockParams) = { 246aa2bcc31SzhanglyGit hasIQWakeupGet.shiftedWakeupLoadDependencyByIQVec 247aa2bcc31SzhanglyGit .zip(hasIQWakeupGet.wakeupLoadDependencyByIQVec) 248aa2bcc31SzhanglyGit .zip(params.wakeUpInExuSources.map(_.name)).foreach { 249aa2bcc31SzhanglyGit case ((deps, originalDeps), name) => deps.zip(originalDeps).zipWithIndex.foreach { 250aa2bcc31SzhanglyGit case ((dep, originalDep), deqPortIdx) => 251*80c686d5SzhanglyGit if (params.backendParam.getLdExuIdx(params.backendParam.allExuParams.find(_.name == name).get) == deqPortIdx) 252aa2bcc31SzhanglyGit dep := (originalDep << 2).asUInt | 2.U 253aa2bcc31SzhanglyGit else 254aa2bcc31SzhanglyGit dep := originalDep << 1 255aa2bcc31SzhanglyGit } 256aa2bcc31SzhanglyGit } 257aa2bcc31SzhanglyGit hasIQWakeupGet.shiftedWakeupLoadDependencyByIQBypassVec 258aa2bcc31SzhanglyGit .zip(hasIQWakeupGet.wakeupLoadDependencyByIQVec) 259aa2bcc31SzhanglyGit .zip(params.wakeUpInExuSources.map(_.name)).foreach { 260aa2bcc31SzhanglyGit case ((deps, originalDeps), name) => deps.zip(originalDeps).zipWithIndex.foreach { 261aa2bcc31SzhanglyGit case ((dep, originalDep), deqPortIdx) => 262*80c686d5SzhanglyGit if (params.backendParam.getLdExuIdx(params.backendParam.allExuParams.find(_.name == name).get) == deqPortIdx) 263aa2bcc31SzhanglyGit dep := (originalDep << 1).asUInt | 1.U 264aa2bcc31SzhanglyGit else 265aa2bcc31SzhanglyGit dep := originalDep 266aa2bcc31SzhanglyGit } 267aa2bcc31SzhanglyGit } 268aa2bcc31SzhanglyGit } 269aa2bcc31SzhanglyGit 270397c0f33Ssinsanction def EntryRegCommonConnect(common: CommonWireBundle, hasIQWakeup: Option[CommonIQWakeupBundle], validReg: Bool, entryUpdate: EntryBundle, entryReg: EntryBundle, status: Status, commonIn: CommonInBundle, isEnq: Boolean)(implicit p: Parameters, params: IssueBlockParams) = { 271aa2bcc31SzhanglyGit val hasIQWakeupGet = hasIQWakeup.getOrElse(0.U.asTypeOf(new CommonIQWakeupBundle)) 272eea4a3caSzhanglyGit val cancelByLd = common.srcCancelVec.asUInt.orR 273aa2bcc31SzhanglyGit val cancelWhenWakeup = VecInit(hasIQWakeupGet.srcWakeupByIQButCancel.map(_.asUInt.orR)).asUInt.orR 274f08a822fSzhanglyGit val respIssueFail = commonIn.issueResp.valid && RespType.isBlocked(commonIn.issueResp.bits.resp) 275aa2bcc31SzhanglyGit val srcWakeupExuOH = if(isEnq) status.srcStatus.map(_.srcWakeUpL1ExuOH.getOrElse(0.U.asTypeOf(ExuVec()))) else hasIQWakeupGet.regSrcWakeupL1ExuOH 276397c0f33Ssinsanction entryUpdate.status.robIdx := status.robIdx 277397c0f33Ssinsanction entryUpdate.status.fuType := IQFuType.readFuType(status.fuType, params.getFuCfgs.map(_.fuType)) 278397c0f33Ssinsanction entryUpdate.status.srcStatus.zip(status.srcStatus).zipWithIndex.foreach { case ((srcStatusNext, srcStatus), srcIdx) => 279eea4a3caSzhanglyGit val cancel = common.srcCancelVec(srcIdx) 280aa2bcc31SzhanglyGit val wakeupByIQ = hasIQWakeupGet.srcWakeupByIQ(srcIdx).asUInt.orR 281aa2bcc31SzhanglyGit val wakeupByIQOH = hasIQWakeupGet.srcWakeupByIQ(srcIdx) 282aa2bcc31SzhanglyGit val wakeup = common.srcWakeup(srcIdx) 283aa2bcc31SzhanglyGit srcStatusNext.psrc := srcStatus.psrc 284aa2bcc31SzhanglyGit srcStatusNext.srcType := srcStatus.srcType 285aa2bcc31SzhanglyGit srcStatusNext.srcState := Mux(cancel, false.B, wakeup | srcStatus.srcState) 28653bf098fSxiaofeibao-xjtu srcStatusNext.dataSources.value := Mux(wakeupByIQ, DataSource.bypass, Mux(srcStatus.dataSources.readBypass, DataSource.reg, srcStatus.dataSources.value)) 287aa2bcc31SzhanglyGit if(params.hasIQWakeUp) { 288aa2bcc31SzhanglyGit srcStatusNext.srcTimer.get := MuxCase(3.U, Seq( 289aa2bcc31SzhanglyGit // T0: waked up by IQ, T1: reset timer as 1 290aa2bcc31SzhanglyGit wakeupByIQ -> 2.U, 291aa2bcc31SzhanglyGit // do not overflow 292aa2bcc31SzhanglyGit srcStatus.srcTimer.get.andR -> srcStatus.srcTimer.get, 293aa2bcc31SzhanglyGit // T2+: increase if the entry is valid, the src is ready, and the src is woken up by iq 294aa2bcc31SzhanglyGit (validReg && SrcState.isReady(srcStatus.srcState) && srcWakeupExuOH(srcIdx).asUInt.orR) -> (srcStatus.srcTimer.get + 1.U) 295aa2bcc31SzhanglyGit )) 296aa2bcc31SzhanglyGit ExuOHGen(srcStatusNext.srcWakeUpL1ExuOH.get, wakeupByIQOH, wakeup, srcWakeupExuOH(srcIdx)) 297eea4a3caSzhanglyGit srcStatusNext.srcLoadDependency := 298aa2bcc31SzhanglyGit Mux(wakeup, 299aa2bcc31SzhanglyGit Mux1H(wakeupByIQOH, hasIQWakeupGet.shiftedWakeupLoadDependencyByIQVec), 300eea4a3caSzhanglyGit Mux(validReg && srcStatus.srcLoadDependency.asUInt.orR, VecInit(srcStatus.srcLoadDependency.map(i => i(i.getWidth - 2, 0) << 1)), srcStatus.srcLoadDependency)) 301eea4a3caSzhanglyGit } else { 302eea4a3caSzhanglyGit srcStatusNext.srcLoadDependency := Mux(validReg && srcStatus.srcLoadDependency.asUInt.orR, VecInit(srcStatus.srcLoadDependency.map(i => i(i.getWidth - 2, 0) << 1)), srcStatus.srcLoadDependency) 303aa2bcc31SzhanglyGit } 304aa2bcc31SzhanglyGit } 305397c0f33Ssinsanction entryUpdate.status.blocked := false.B 306397c0f33Ssinsanction entryUpdate.status.issued := MuxCase(status.issued, Seq( 307aa2bcc31SzhanglyGit (cancelByLd || cancelWhenWakeup || respIssueFail) -> false.B, 308aa2bcc31SzhanglyGit commonIn.deqSel -> true.B, 309aa2bcc31SzhanglyGit !status.srcReady -> false.B, 310aa2bcc31SzhanglyGit )) 311397c0f33Ssinsanction entryUpdate.status.firstIssue := commonIn.deqSel || status.firstIssue 312397c0f33Ssinsanction entryUpdate.status.issueTimer := Mux(commonIn.deqSel, 0.U, Mux(status.issued, status.issueTimer + 1.U, "b10".U)) 313397c0f33Ssinsanction entryUpdate.status.deqPortIdx := Mux(commonIn.deqSel, commonIn.deqPortIdxWrite, Mux(status.issued, status.deqPortIdx, 0.U)) 314397c0f33Ssinsanction entryUpdate.imm.foreach(_ := entryReg.imm.get) 315397c0f33Ssinsanction entryUpdate.payload := entryReg.payload 316397c0f33Ssinsanction if (params.isVecMemIQ) { 317397c0f33Ssinsanction entryUpdate.status.vecMem.get := entryReg.status.vecMem.get 318397c0f33Ssinsanction } 319aa2bcc31SzhanglyGit } 320aa2bcc31SzhanglyGit 321df26db8aSsinsanction def CommonOutConnect(commonOut: CommonOutBundle, common: CommonWireBundle, hasIQWakeup: Option[CommonIQWakeupBundle], validReg: Bool, entryUpdate: EntryBundle, entryReg: EntryBundle, status: Status, commonIn: CommonInBundle, isEnq: Boolean, isComp: Boolean)(implicit p: Parameters, params: IssueBlockParams) = { 322aa2bcc31SzhanglyGit val hasIQWakeupGet = hasIQWakeup.getOrElse(0.U.asTypeOf(new CommonIQWakeupBundle)) 323aa2bcc31SzhanglyGit val srcWakeupExuOH = if(isEnq) status.srcStatus.map(_.srcWakeUpL1ExuOH.getOrElse(0.U.asTypeOf(ExuVec()))) else hasIQWakeupGet.regSrcWakeupL1ExuOH 324aa2bcc31SzhanglyGit commonOut.valid := validReg 325df26db8aSsinsanction commonOut.canIssue := (if (isComp) (common.canIssue || hasIQWakeupGet.canIssueBypass) && !common.flushed 326df26db8aSsinsanction else common.canIssue && !common.flushed) 327aa2bcc31SzhanglyGit commonOut.fuType := IQFuType.readFuType(status.fuType, params.getFuCfgs.map(_.fuType)).asUInt 328aa2bcc31SzhanglyGit commonOut.robIdx := status.robIdx 329aa2bcc31SzhanglyGit commonOut.dataSource.zipWithIndex.foreach{ case (dataSourceOut, srcIdx) => 330aa2bcc31SzhanglyGit dataSourceOut.value := Mux(hasIQWakeupGet.srcWakeupByIQ(srcIdx).asUInt.orR, DataSource.forward, status.srcStatus(srcIdx).dataSources.value) 331aa2bcc31SzhanglyGit } 332aa2bcc31SzhanglyGit commonOut.isFirstIssue := !status.firstIssue 333aa2bcc31SzhanglyGit commonOut.entry.valid := validReg 334aa2bcc31SzhanglyGit commonOut.entry.bits := entryReg 335aa2bcc31SzhanglyGit if(isEnq) { 336aa2bcc31SzhanglyGit commonOut.entry.bits.status := status 337aa2bcc31SzhanglyGit } 338aa2bcc31SzhanglyGit commonOut.issueTimerRead := status.issueTimer 339aa2bcc31SzhanglyGit commonOut.deqPortIdxRead := status.deqPortIdx 340aa2bcc31SzhanglyGit if(params.hasIQWakeUp) { 341a4d38a63SzhanglyGit val wakeupSrcLoadDependency = hasIQWakeupGet.srcWakeupByIQWithoutCancel.map(x => Mux1H(x, hasIQWakeupGet.wakeupLoadDependencyByIQVec)) 342df26db8aSsinsanction commonOut.srcWakeUpL1ExuOH.get := (if (isComp) Mux(hasIQWakeupGet.canIssueBypass && !common.canIssue, hasIQWakeupGet.srcWakeupL1ExuOHOut, VecInit(srcWakeupExuOH)) 343df26db8aSsinsanction else VecInit(srcWakeupExuOH)) 344aa2bcc31SzhanglyGit commonOut.srcTimer.get.zipWithIndex.foreach { case (srcTimerOut, srcIdx) => 345aa2bcc31SzhanglyGit val wakeupByIQOH = hasIQWakeupGet.srcWakeupByIQWithoutCancel(srcIdx) 346aa2bcc31SzhanglyGit srcTimerOut := Mux(wakeupByIQOH.asUInt.orR, Mux1H(wakeupByIQOH, commonIn.wakeUpFromIQ.map(_.bits.is0Lat).toSeq).asUInt, status.srcStatus(srcIdx).srcTimer.get) 347aa2bcc31SzhanglyGit } 348eea4a3caSzhanglyGit commonOut.srcLoadDependency.zipWithIndex.foreach { case (srcLoadDependencyOut, srcIdx) => 349df26db8aSsinsanction srcLoadDependencyOut := (if (isComp) Mux(hasIQWakeupGet.canIssueBypass && !common.canIssue, 350eea4a3caSzhanglyGit VecInit(status.srcStatus(srcIdx).srcLoadDependency.zip(wakeupSrcLoadDependency(srcIdx)).map(x => x._1 | x._2)), 351eea4a3caSzhanglyGit status.srcStatus(srcIdx).srcLoadDependency) 352eea4a3caSzhanglyGit else status.srcStatus(srcIdx).srcLoadDependency) 353aa2bcc31SzhanglyGit } 354eea4a3caSzhanglyGit } else { 355eea4a3caSzhanglyGit commonOut.srcLoadDependency.zipWithIndex.foreach { case (srcLoadDependencyOut, srcIdx) => 356eea4a3caSzhanglyGit srcLoadDependencyOut := status.srcStatus(srcIdx).srcLoadDependency 357eea4a3caSzhanglyGit } 358eea4a3caSzhanglyGit } 359eea4a3caSzhanglyGit commonOut.entry.bits.status.srcStatus.map(_.srcLoadDependency).zipWithIndex.foreach { case (srcLoadDependencyOut, srcIdx) => 360df26db8aSsinsanction srcLoadDependencyOut := (if (isComp) Mux(hasIQWakeupGet.canIssueBypass && !common.canIssue, 361eea4a3caSzhanglyGit common.srcLoadDependencyOut(srcIdx), 362eea4a3caSzhanglyGit status.srcStatus(srcIdx).srcLoadDependency) 363eea4a3caSzhanglyGit else status.srcStatus(srcIdx).srcLoadDependency) 364aa2bcc31SzhanglyGit } 365397c0f33Ssinsanction commonOut.enqReady := common.enqReady 366397c0f33Ssinsanction commonOut.transEntry.valid := validReg && !common.flushed && !common.deqSuccess 367397c0f33Ssinsanction commonOut.transEntry.bits := entryUpdate 368a6938b17Ssinsanction // debug 369aa2bcc31SzhanglyGit commonOut.cancel.foreach(_ := hasIQWakeupGet.cancelVec.asUInt.orR) 370a6938b17Ssinsanction commonOut.entryInValid := commonIn.enq.valid 371a6938b17Ssinsanction commonOut.entryOutDeqValid := validReg && (common.flushed || common.deqSuccess) 372a6938b17Ssinsanction commonOut.entryOutTransValid := validReg && commonIn.transSel && !(common.flushed || common.deqSuccess) 373aa2bcc31SzhanglyGit if (params.isVecMemIQ) { 374aa2bcc31SzhanglyGit commonOut.uopIdx.get := status.vecMem.get.uopIdx 375aa2bcc31SzhanglyGit } 376aa2bcc31SzhanglyGit } 377aa2bcc31SzhanglyGit 378397c0f33Ssinsanction def EntryMemConnect(commonIn: CommonInBundle, common: CommonWireBundle, validReg: Bool, entryReg: EntryBundle, entryRegNext: EntryBundle, entryUpdate: EntryBundle, isEnq: Boolean)(implicit p: Parameters, params: IssueBlockParams) = { 379397c0f33Ssinsanction val enqValid = if(isEnq) commonIn.enq.valid && common.enqReady 38028607074Ssinsanction else commonIn.enq.valid 381aa2bcc31SzhanglyGit val fromMem = commonIn.fromMem.get 382aa2bcc31SzhanglyGit val memStatus = entryReg.status.mem.get 383aa2bcc31SzhanglyGit val memStatusNext = entryRegNext.status.mem.get 384397c0f33Ssinsanction val memStatusUpdate = entryUpdate.status.mem.get 385397c0f33Ssinsanction 386397c0f33Ssinsanction when(enqValid) { 387397c0f33Ssinsanction memStatusNext.waitForSqIdx := commonIn.enq.bits.status.mem.get.waitForSqIdx 388397c0f33Ssinsanction // update by lfst at dispatch stage 389397c0f33Ssinsanction memStatusNext.waitForRobIdx := commonIn.enq.bits.status.mem.get.waitForRobIdx 390397c0f33Ssinsanction // new load inst don't known if it is blocked by store data ahead of it 391397c0f33Ssinsanction memStatusNext.waitForStd := false.B 392397c0f33Ssinsanction // update by ssit at rename stage 393397c0f33Ssinsanction memStatusNext.strictWait := commonIn.enq.bits.status.mem.get.strictWait 394397c0f33Ssinsanction memStatusNext.sqIdx := commonIn.enq.bits.status.mem.get.sqIdx 395397c0f33Ssinsanction }.otherwise { 396397c0f33Ssinsanction memStatusNext := memStatusUpdate 397397c0f33Ssinsanction } 398aa2bcc31SzhanglyGit 399aa2bcc31SzhanglyGit // load cannot be issued before older store, unless meet some condition 400aa2bcc31SzhanglyGit val blockedByOlderStore = isAfter(memStatusNext.sqIdx, fromMem.stIssuePtr) 401aa2bcc31SzhanglyGit 402aa2bcc31SzhanglyGit 403aa2bcc31SzhanglyGit val staWaitedReleased = Cat( 404aa2bcc31SzhanglyGit fromMem.memWaitUpdateReq.robIdx.map(x => x.valid && x.bits.value === memStatusNext.waitForRobIdx.value) 405aa2bcc31SzhanglyGit ).orR 406aa2bcc31SzhanglyGit val stdWaitedReleased = Cat( 407aa2bcc31SzhanglyGit fromMem.memWaitUpdateReq.sqIdx.map(x => x.valid && x.bits.value === memStatusNext.waitForSqIdx.value) 408aa2bcc31SzhanglyGit ).orR 409aa2bcc31SzhanglyGit val olderStaNotViolate = staWaitedReleased && !memStatusNext.strictWait 410aa2bcc31SzhanglyGit val olderStdReady = stdWaitedReleased && memStatusNext.waitForStd 411aa2bcc31SzhanglyGit val waitStd = !olderStdReady 412aa2bcc31SzhanglyGit val waitSta = !olderStaNotViolate 413aa2bcc31SzhanglyGit 414397c0f33Ssinsanction memStatusUpdate := memStatus 415aa2bcc31SzhanglyGit 416397c0f33Ssinsanction val shouldBlock = Mux(enqValid, commonIn.enq.bits.status.blocked, entryReg.status.blocked) 417aa2bcc31SzhanglyGit val blockNotReleased = waitStd || waitSta 418f08a822fSzhanglyGit entryUpdate.status.blocked := shouldBlock && blockNotReleased && blockedByOlderStore 419397c0f33Ssinsanction entryRegNext.status.blocked := entryUpdate.status.blocked 420aa2bcc31SzhanglyGit } 421aa2bcc31SzhanglyGit 422aa2bcc31SzhanglyGit def ExuOHGen(exuOH: Vec[Bool], wakeupByIQOH: Vec[Bool], wakeup: Bool, regSrcExuOH: Vec[Bool])(implicit p: Parameters, params: IssueBlockParams) = { 423aa2bcc31SzhanglyGit val origExuOH = 0.U.asTypeOf(exuOH) 424aa2bcc31SzhanglyGit when(wakeupByIQOH.asUInt.orR) { 425aa2bcc31SzhanglyGit origExuOH := Mux1H(wakeupByIQOH, params.wakeUpSourceExuIdx.map(x => MathUtils.IntToOH(x).U(p(XSCoreParamsKey).backendParams.numExu.W)).toSeq).asBools 426aa2bcc31SzhanglyGit }.elsewhen(wakeup) { 427aa2bcc31SzhanglyGit origExuOH := 0.U.asTypeOf(origExuOH) 428aa2bcc31SzhanglyGit }.otherwise { 429aa2bcc31SzhanglyGit origExuOH := regSrcExuOH 430aa2bcc31SzhanglyGit } 431aa2bcc31SzhanglyGit exuOH := 0.U.asTypeOf(exuOH) 432aa2bcc31SzhanglyGit params.wakeUpSourceExuIdx.foreach(x => exuOH(x) := origExuOH(x)) 433aa2bcc31SzhanglyGit } 434aa2bcc31SzhanglyGit 435aa2bcc31SzhanglyGit object IQFuType { 436aa2bcc31SzhanglyGit def num = FuType.num 437aa2bcc31SzhanglyGit 438aa2bcc31SzhanglyGit def apply() = Vec(num, Bool()) 439aa2bcc31SzhanglyGit 440aa2bcc31SzhanglyGit def readFuType(fuType: Vec[Bool], fus: Seq[FuType.OHType]): Vec[Bool] = { 441aa2bcc31SzhanglyGit val res = 0.U.asTypeOf(fuType) 442aa2bcc31SzhanglyGit fus.foreach(x => res(x.id) := fuType(x.id)) 443aa2bcc31SzhanglyGit res 444aa2bcc31SzhanglyGit } 445aa2bcc31SzhanglyGit } 446aa2bcc31SzhanglyGit} 447