1aa2bcc31SzhanglyGitpackage xiangshan.backend.issue 2aa2bcc31SzhanglyGit 3aa2bcc31SzhanglyGitimport org.chipsalliance.cde.config.Parameters 4aa2bcc31SzhanglyGitimport chisel3._ 5aa2bcc31SzhanglyGitimport chisel3.util._ 6aa2bcc31SzhanglyGitimport utils.{MathUtils, OptionWrapper} 7aa2bcc31SzhanglyGitimport utility.HasCircularQueuePtrHelper 8aa2bcc31SzhanglyGitimport xiangshan._ 9aa2bcc31SzhanglyGitimport xiangshan.backend.Bundles._ 10aa2bcc31SzhanglyGitimport xiangshan.backend.datapath.DataSource 11aa2bcc31SzhanglyGitimport xiangshan.backend.fu.FuType 12aa2bcc31SzhanglyGitimport xiangshan.backend.rob.RobPtr 13aa2bcc31SzhanglyGitimport xiangshan.mem.{MemWaitUpdateReq, SqPtr, LqPtr} 14aa2bcc31SzhanglyGit 15aa2bcc31SzhanglyGitobject EntryBundles extends HasCircularQueuePtrHelper { 16aa2bcc31SzhanglyGit 17aa2bcc31SzhanglyGit class Status(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 18aa2bcc31SzhanglyGit //basic status 19aa2bcc31SzhanglyGit val robIdx = new RobPtr 20aa2bcc31SzhanglyGit val fuType = IQFuType() 21aa2bcc31SzhanglyGit //src status 22aa2bcc31SzhanglyGit val srcStatus = Vec(params.numRegSrc, new SrcStatus) 23aa2bcc31SzhanglyGit //issue status 24aa2bcc31SzhanglyGit val blocked = Bool() 25aa2bcc31SzhanglyGit val issued = Bool() 26aa2bcc31SzhanglyGit val firstIssue = Bool() 27aa2bcc31SzhanglyGit val issueTimer = UInt(2.W) 28aa2bcc31SzhanglyGit val deqPortIdx = UInt(1.W) 29aa2bcc31SzhanglyGit //mem status 30aa2bcc31SzhanglyGit val mem = OptionWrapper(params.isMemAddrIQ, new StatusMemPart) 31aa2bcc31SzhanglyGit //vector mem status 32aa2bcc31SzhanglyGit val vecMem = OptionWrapper(params.isVecMemIQ, new StatusVecMemPart) 33aa2bcc31SzhanglyGit 34aa2bcc31SzhanglyGit def srcReady: Bool = { 35aa2bcc31SzhanglyGit VecInit(srcStatus.map(_.srcState).map(SrcState.isReady)).asUInt.andR 36aa2bcc31SzhanglyGit } 37aa2bcc31SzhanglyGit 38aa2bcc31SzhanglyGit def canIssue: Bool = { 39aa2bcc31SzhanglyGit srcReady && !issued && !blocked 40aa2bcc31SzhanglyGit } 41aa2bcc31SzhanglyGit 42aa2bcc31SzhanglyGit def mergedLoadDependency: Option[Vec[UInt]] = { 43aa2bcc31SzhanglyGit OptionWrapper(params.hasIQWakeUp, srcStatus.map(_.srcLoadDependency.get).reduce({ 44aa2bcc31SzhanglyGit case (l: Vec[UInt], r: Vec[UInt]) => VecInit(l.zip(r).map(x => x._1 | x._2)) 45aa2bcc31SzhanglyGit }: (Vec[UInt], Vec[UInt]) => Vec[UInt])) 46aa2bcc31SzhanglyGit } 47aa2bcc31SzhanglyGit } 48aa2bcc31SzhanglyGit 49aa2bcc31SzhanglyGit class SrcStatus(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 50aa2bcc31SzhanglyGit val psrc = UInt(params.rdPregIdxWidth.W) 51aa2bcc31SzhanglyGit val srcType = SrcType() 52aa2bcc31SzhanglyGit val srcState = SrcState() 53aa2bcc31SzhanglyGit val dataSources = DataSource() 54aa2bcc31SzhanglyGit val srcTimer = OptionWrapper(params.hasIQWakeUp, UInt(3.W)) 55aa2bcc31SzhanglyGit val srcWakeUpL1ExuOH = OptionWrapper(params.hasIQWakeUp, ExuVec()) 56aa2bcc31SzhanglyGit val srcLoadDependency = OptionWrapper(params.hasIQWakeUp, Vec(LoadPipelineWidth, UInt(3.W))) 57aa2bcc31SzhanglyGit } 58aa2bcc31SzhanglyGit 59aa2bcc31SzhanglyGit class StatusMemPart(implicit p:Parameters) extends Bundle { 60aa2bcc31SzhanglyGit val waitForSqIdx = new SqPtr // generated by store data valid check 61aa2bcc31SzhanglyGit val waitForRobIdx = new RobPtr // generated by store set 62aa2bcc31SzhanglyGit val waitForStd = Bool() 63aa2bcc31SzhanglyGit val strictWait = Bool() 64aa2bcc31SzhanglyGit val sqIdx = new SqPtr 65aa2bcc31SzhanglyGit } 66aa2bcc31SzhanglyGit 67aa2bcc31SzhanglyGit class StatusVecMemPart(implicit p:Parameters, params: IssueBlockParams) extends Bundle { 68aa2bcc31SzhanglyGit val sqIdx = new SqPtr 69aa2bcc31SzhanglyGit val lqIdx = new LqPtr 70aa2bcc31SzhanglyGit val uopIdx = UopIdx() 71aa2bcc31SzhanglyGit } 72aa2bcc31SzhanglyGit 73aa2bcc31SzhanglyGit class EntryDeqRespBundle(implicit p: Parameters, params: IssueBlockParams) extends Bundle { 74aa2bcc31SzhanglyGit val robIdx = new RobPtr 75aa2bcc31SzhanglyGit val respType = RSFeedbackType() // update credit if needs replay 76aa2bcc31SzhanglyGit val dataInvalidSqIdx = new SqPtr 77aa2bcc31SzhanglyGit val rfWen = Bool() 78aa2bcc31SzhanglyGit val fuType = FuType() 79aa2bcc31SzhanglyGit val uopIdx = OptionWrapper(params.isVecMemIQ, Output(UopIdx())) 80aa2bcc31SzhanglyGit } 81aa2bcc31SzhanglyGit 82aa2bcc31SzhanglyGit class EntryBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 83aa2bcc31SzhanglyGit val status = new Status() 84aa2bcc31SzhanglyGit val imm = OptionWrapper(params.needImm, UInt((params.deqImmTypesMaxLen).W)) 85aa2bcc31SzhanglyGit val payload = new DynInst() 86aa2bcc31SzhanglyGit } 87aa2bcc31SzhanglyGit 88aa2bcc31SzhanglyGit class CommonInBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 89aa2bcc31SzhanglyGit val flush = Flipped(ValidIO(new Redirect)) 90aa2bcc31SzhanglyGit val enq = Flipped(ValidIO(new EntryBundle)) 91aa2bcc31SzhanglyGit //wakeup 92aa2bcc31SzhanglyGit val wakeUpFromWB: MixedVec[ValidIO[IssueQueueWBWakeUpBundle]] = Flipped(params.genWBWakeUpSinkValidBundle) 93aa2bcc31SzhanglyGit val wakeUpFromIQ: MixedVec[ValidIO[IssueQueueIQWakeUpBundle]] = Flipped(params.genIQWakeUpSinkValidBundle) 94aa2bcc31SzhanglyGit //cancel 95aa2bcc31SzhanglyGit val og0Cancel = Input(ExuOH(backendParams.numExu)) 96aa2bcc31SzhanglyGit val og1Cancel = Input(ExuOH(backendParams.numExu)) 97aa2bcc31SzhanglyGit val ldCancel = Vec(backendParams.LdExuCnt, Flipped(new LoadCancelIO)) 98aa2bcc31SzhanglyGit //deq sel 99aa2bcc31SzhanglyGit val deqSel = Input(Bool()) 100aa2bcc31SzhanglyGit val deqPortIdxWrite = Input(UInt(1.W)) 101aa2bcc31SzhanglyGit val issueResp = Flipped(ValidIO(new EntryDeqRespBundle)) 102aa2bcc31SzhanglyGit //trans sel 103aa2bcc31SzhanglyGit val transSel = Input(Bool()) 104aa2bcc31SzhanglyGit // mem only 105aa2bcc31SzhanglyGit val fromMem = OptionWrapper(params.isMemAddrIQ, new Bundle { 106aa2bcc31SzhanglyGit val stIssuePtr = Input(new SqPtr) 107aa2bcc31SzhanglyGit val memWaitUpdateReq = Flipped(new MemWaitUpdateReq) 108aa2bcc31SzhanglyGit }) 109aa2bcc31SzhanglyGit // vector mem only 110aa2bcc31SzhanglyGit val fromLsq = OptionWrapper(params.isVecMemIQ, new Bundle { 111aa2bcc31SzhanglyGit val sqDeqPtr = Input(new SqPtr) 112aa2bcc31SzhanglyGit val lqDeqPtr = Input(new LqPtr) 113aa2bcc31SzhanglyGit }) 114aa2bcc31SzhanglyGit } 115aa2bcc31SzhanglyGit 116aa2bcc31SzhanglyGit class CommonOutBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 117aa2bcc31SzhanglyGit //status 118aa2bcc31SzhanglyGit val valid = Output(Bool()) 119aa2bcc31SzhanglyGit val canIssue = Output(Bool()) 120aa2bcc31SzhanglyGit val fuType = Output(FuType()) 121aa2bcc31SzhanglyGit val robIdx = Output(new RobPtr) 122aa2bcc31SzhanglyGit val uopIdx = OptionWrapper(params.isVecMemIQ, Output(UopIdx())) 123aa2bcc31SzhanglyGit //src 124aa2bcc31SzhanglyGit val dataSource = Vec(params.numRegSrc, Output(DataSource())) 125aa2bcc31SzhanglyGit val srcWakeUpL1ExuOH = OptionWrapper(params.hasIQWakeUp, Vec(params.numRegSrc, Output(ExuVec()))) 126aa2bcc31SzhanglyGit val srcTimer = OptionWrapper(params.hasIQWakeUp, Vec(params.numRegSrc, Output(UInt(3.W)))) 127aa2bcc31SzhanglyGit //deq 128aa2bcc31SzhanglyGit val isFirstIssue = Output(Bool()) 129aa2bcc31SzhanglyGit val entry = ValidIO(new EntryBundle) 130aa2bcc31SzhanglyGit val deqPortIdxRead = Output(UInt(1.W)) 131aa2bcc31SzhanglyGit val issueTimerRead = Output(UInt(2.W)) 132aa2bcc31SzhanglyGit // debug 133aa2bcc31SzhanglyGit val cancel = OptionWrapper(params.hasIQWakeUp, Output(Bool())) 134aa2bcc31SzhanglyGit } 135aa2bcc31SzhanglyGit 136aa2bcc31SzhanglyGit class CommonWireBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 137aa2bcc31SzhanglyGit val validRegNext = Bool() 138aa2bcc31SzhanglyGit val flushed = Bool() 139aa2bcc31SzhanglyGit val clear = Bool() 140aa2bcc31SzhanglyGit val canIssue = Bool() 141aa2bcc31SzhanglyGit val enqReady = Bool() 142aa2bcc31SzhanglyGit val deqSuccess = Bool() 143aa2bcc31SzhanglyGit val srcWakeup = Vec(params.numRegSrc, Bool()) 144aa2bcc31SzhanglyGit val srcWakeupByWB = Vec(params.numRegSrc, Bool()) 145aa2bcc31SzhanglyGit } 146aa2bcc31SzhanglyGit 147*0dfdb52aSzhanglyGit def CommonWireConnect(common: CommonWireBundle, hasIQWakeup: Option[CommonIQWakeupBundle], validReg: Bool, status: Status, commonIn: CommonInBundle, isEnq: Boolean)(implicit p: Parameters, params: IssueBlockParams) = { 148aa2bcc31SzhanglyGit val hasIQWakeupGet = hasIQWakeup.getOrElse(0.U.asTypeOf(new CommonIQWakeupBundle)) 149*0dfdb52aSzhanglyGit common.flushed := status.robIdx.needFlush(commonIn.flush) 150aa2bcc31SzhanglyGit common.deqSuccess := commonIn.issueResp.valid && commonIn.issueResp.bits.respType === RSFeedbackType.fuIdle && !hasIQWakeupGet.srcLoadCancelVec.asUInt.orR 151aa2bcc31SzhanglyGit common.srcWakeup := common.srcWakeupByWB.zip(hasIQWakeupGet.srcWakeupByIQ).map { case (x, y) => x || y.asUInt.orR } 152*0dfdb52aSzhanglyGit common.srcWakeupByWB := commonIn.wakeUpFromWB.map(bundle => bundle.bits.wakeUp(status.srcStatus.map(_.psrc) zip status.srcStatus.map(_.srcType), bundle.valid)).transpose.map(x => VecInit(x.toSeq).asUInt.orR).toSeq 153*0dfdb52aSzhanglyGit common.canIssue := validReg && status.canIssue && !hasIQWakeupGet.srcCancelVec.asUInt.orR 154aa2bcc31SzhanglyGit common.enqReady := !validReg || common.clear 155aa2bcc31SzhanglyGit if(isEnq) { 156aa2bcc31SzhanglyGit common.validRegNext := Mux(commonIn.enq.valid && common.enqReady, true.B, Mux(common.clear, false.B, validReg)) 157aa2bcc31SzhanglyGit common.clear := common.flushed || common.deqSuccess || commonIn.transSel 158aa2bcc31SzhanglyGit } else { 159aa2bcc31SzhanglyGit common.validRegNext := Mux(commonIn.enq.valid && commonIn.transSel, true.B, Mux(common.clear, false.B, validReg)) 160aa2bcc31SzhanglyGit common.clear := common.flushed || common.deqSuccess 161aa2bcc31SzhanglyGit } 162aa2bcc31SzhanglyGit } 163aa2bcc31SzhanglyGit 164aa2bcc31SzhanglyGit class CommonIQWakeupBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 165aa2bcc31SzhanglyGit val srcWakeupByIQ = Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool())) 166aa2bcc31SzhanglyGit val srcWakeupByIQWithoutCancel = Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool())) 167aa2bcc31SzhanglyGit val srcWakeupByIQButCancel = Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool())) 168aa2bcc31SzhanglyGit val regSrcWakeupL1ExuOH = Vec(params.numRegSrc, ExuVec()) 169aa2bcc31SzhanglyGit val srcWakeupL1ExuOHOut = Vec(params.numRegSrc, ExuVec()) 170aa2bcc31SzhanglyGit val srcLoadDependencyOut = Vec(params.numRegSrc, Vec(LoadPipelineWidth, UInt(3.W))) 171aa2bcc31SzhanglyGit val wakeupLoadDependencyByIQVec = Vec(params.numWakeupFromIQ, Vec(LoadPipelineWidth, UInt(3.W))) 172aa2bcc31SzhanglyGit val shiftedWakeupLoadDependencyByIQVec = Vec(params.numWakeupFromIQ, Vec(LoadPipelineWidth, UInt(3.W))) 173aa2bcc31SzhanglyGit val shiftedWakeupLoadDependencyByIQBypassVec = Vec(params.numWakeupFromIQ, Vec(LoadPipelineWidth, UInt(3.W))) 174aa2bcc31SzhanglyGit val cancelVec = Vec(params.numRegSrc, Bool()) 175aa2bcc31SzhanglyGit val srcCancelVec = Vec(params.numRegSrc, Bool()) 176aa2bcc31SzhanglyGit val srcLoadCancelVec = Vec(params.numRegSrc, Bool()) 177aa2bcc31SzhanglyGit val canIssueBypass = Bool() 178aa2bcc31SzhanglyGit } 179aa2bcc31SzhanglyGit 180aa2bcc31SzhanglyGit def CommonIQWakeupConnect(common: CommonWireBundle, hasIQWakeupGet: CommonIQWakeupBundle, validReg: Bool, status: Status, commonIn: CommonInBundle, isEnq: Boolean)(implicit p: Parameters, params: IssueBlockParams) = { 181aa2bcc31SzhanglyGit val wakeupVec: Seq[Seq[Bool]] = commonIn.wakeUpFromIQ.map((bundle: ValidIO[IssueQueueIQWakeUpBundle]) => 182aa2bcc31SzhanglyGit bundle.bits.wakeUpFromIQ(status.srcStatus.map(_.psrc) zip status.srcStatus.map(_.srcType)) 183aa2bcc31SzhanglyGit ).toSeq.transpose 184aa2bcc31SzhanglyGit val cancelSel = params.wakeUpSourceExuIdx.zip(commonIn.wakeUpFromIQ).map { case (x, y) => commonIn.og0Cancel(x) && y.bits.is0Lat } 185aa2bcc31SzhanglyGit 186aa2bcc31SzhanglyGit hasIQWakeupGet.srcCancelVec.zip(hasIQWakeupGet.srcLoadCancelVec).zip(hasIQWakeupGet.srcWakeupByIQWithoutCancel).zipWithIndex.foreach { case (((srcCancel, srcLoadCancel), wakeUpByIQVec), srcIdx) => 187aa2bcc31SzhanglyGit val ldTransCancel = Mux1H(wakeUpByIQVec, hasIQWakeupGet.wakeupLoadDependencyByIQVec.map(dep => LoadShouldCancel(Some(dep), commonIn.ldCancel))) 188aa2bcc31SzhanglyGit srcLoadCancel := LoadShouldCancel(status.srcStatus(srcIdx).srcLoadDependency, commonIn.ldCancel) 189aa2bcc31SzhanglyGit srcCancel := srcLoadCancel || ldTransCancel 190aa2bcc31SzhanglyGit } 191aa2bcc31SzhanglyGit hasIQWakeupGet.cancelVec := hasIQWakeupGet.srcCancelVec 192aa2bcc31SzhanglyGit hasIQWakeupGet.srcWakeupByIQ := wakeupVec.map(x => VecInit(x.zip(cancelSel).map { case (wakeup, cancel) => wakeup && !cancel })) 193aa2bcc31SzhanglyGit hasIQWakeupGet.srcWakeupByIQButCancel := wakeupVec.map(x => VecInit(x.zip(cancelSel).map { case (wakeup, cancel) => wakeup && cancel })) 194aa2bcc31SzhanglyGit hasIQWakeupGet.srcWakeupByIQWithoutCancel := wakeupVec.map(x => VecInit(x)) 195aa2bcc31SzhanglyGit hasIQWakeupGet.wakeupLoadDependencyByIQVec := commonIn.wakeUpFromIQ.map(_.bits.loadDependency).toSeq 196aa2bcc31SzhanglyGit hasIQWakeupGet.regSrcWakeupL1ExuOH.zip(status.srcStatus.map(_.srcWakeUpL1ExuOH.get)).foreach { 197aa2bcc31SzhanglyGit case (exuOH, regExuOH) => 198aa2bcc31SzhanglyGit exuOH := 0.U.asTypeOf(exuOH) 199aa2bcc31SzhanglyGit params.wakeUpSourceExuIdx.foreach(x => exuOH(x) := regExuOH(x)) 200aa2bcc31SzhanglyGit } 201aa2bcc31SzhanglyGit hasIQWakeupGet.srcWakeupL1ExuOHOut.zip(hasIQWakeupGet.srcWakeupByIQWithoutCancel).zip(common.srcWakeup).zipWithIndex.foreach { 202aa2bcc31SzhanglyGit case (((exuOH: Vec[Bool], wakeUpByIQOH: Vec[Bool]), wakeUp: Bool), srcIdx) => 203aa2bcc31SzhanglyGit if(isEnq) { 204aa2bcc31SzhanglyGit ExuOHGen(exuOH, wakeUpByIQOH, wakeUp, status.srcStatus(srcIdx).srcWakeUpL1ExuOH.get) 205aa2bcc31SzhanglyGit } else { 206aa2bcc31SzhanglyGit ExuOHGen(exuOH, wakeUpByIQOH, wakeUp, hasIQWakeupGet.regSrcWakeupL1ExuOH(srcIdx)) 207aa2bcc31SzhanglyGit } 208aa2bcc31SzhanglyGit } 209aa2bcc31SzhanglyGit hasIQWakeupGet.srcLoadDependencyOut.zip(hasIQWakeupGet.srcWakeupByIQ).foreach { 210aa2bcc31SzhanglyGit case (loadDependencyOut, wakeUpByIQVec) => 211aa2bcc31SzhanglyGit loadDependencyOut := Mux1H(wakeUpByIQVec, hasIQWakeupGet.shiftedWakeupLoadDependencyByIQBypassVec) 212aa2bcc31SzhanglyGit } 213aa2bcc31SzhanglyGit hasIQWakeupGet.canIssueBypass := validReg && !status.issued && !status.blocked && 214aa2bcc31SzhanglyGit VecInit(status.srcStatus.map(_.srcState).zip(hasIQWakeupGet.srcWakeupByIQWithoutCancel).zipWithIndex.map { case ((state, wakeupVec), srcIdx) => 215aa2bcc31SzhanglyGit val cancel = hasIQWakeupGet.srcCancelVec(srcIdx) 216aa2bcc31SzhanglyGit Mux(cancel, false.B, wakeupVec.asUInt.orR | state) 217aa2bcc31SzhanglyGit }).asUInt.andR 218aa2bcc31SzhanglyGit } 219aa2bcc31SzhanglyGit 220aa2bcc31SzhanglyGit 221aa2bcc31SzhanglyGit def ShiftLoadDependency(hasIQWakeupGet: CommonIQWakeupBundle)(implicit p: Parameters, params: IssueBlockParams) = { 222aa2bcc31SzhanglyGit hasIQWakeupGet.shiftedWakeupLoadDependencyByIQVec 223aa2bcc31SzhanglyGit .zip(hasIQWakeupGet.wakeupLoadDependencyByIQVec) 224aa2bcc31SzhanglyGit .zip(params.wakeUpInExuSources.map(_.name)).foreach { 225aa2bcc31SzhanglyGit case ((deps, originalDeps), name) => deps.zip(originalDeps).zipWithIndex.foreach { 226aa2bcc31SzhanglyGit case ((dep, originalDep), deqPortIdx) => 227aa2bcc31SzhanglyGit if (name.contains("LDU") && name.replace("LDU", "").toInt == deqPortIdx) 228aa2bcc31SzhanglyGit dep := (originalDep << 2).asUInt | 2.U 229aa2bcc31SzhanglyGit else 230aa2bcc31SzhanglyGit dep := originalDep << 1 231aa2bcc31SzhanglyGit } 232aa2bcc31SzhanglyGit } 233aa2bcc31SzhanglyGit hasIQWakeupGet.shiftedWakeupLoadDependencyByIQBypassVec 234aa2bcc31SzhanglyGit .zip(hasIQWakeupGet.wakeupLoadDependencyByIQVec) 235aa2bcc31SzhanglyGit .zip(params.wakeUpInExuSources.map(_.name)).foreach { 236aa2bcc31SzhanglyGit case ((deps, originalDeps), name) => deps.zip(originalDeps).zipWithIndex.foreach { 237aa2bcc31SzhanglyGit case ((dep, originalDep), deqPortIdx) => 238aa2bcc31SzhanglyGit if (name.contains("LDU") && name.replace("LDU", "").toInt == deqPortIdx) 239aa2bcc31SzhanglyGit dep := (originalDep << 1).asUInt | 1.U 240aa2bcc31SzhanglyGit else 241aa2bcc31SzhanglyGit dep := originalDep 242aa2bcc31SzhanglyGit } 243aa2bcc31SzhanglyGit } 244aa2bcc31SzhanglyGit } 245aa2bcc31SzhanglyGit 246aa2bcc31SzhanglyGit def EntryRegCommonConnect(common: CommonWireBundle, hasIQWakeup: Option[CommonIQWakeupBundle], validReg: Bool, entryRegNext: EntryBundle, entryReg: EntryBundle, status: Status, commonIn: CommonInBundle, isEnq: Boolean)(implicit p: Parameters, params: IssueBlockParams) = { 247aa2bcc31SzhanglyGit val hasIQWakeupGet = hasIQWakeup.getOrElse(0.U.asTypeOf(new CommonIQWakeupBundle)) 248aa2bcc31SzhanglyGit val cancelByLd = hasIQWakeupGet.srcLoadCancelVec.asUInt.orR 249aa2bcc31SzhanglyGit val cancelWhenWakeup = VecInit(hasIQWakeupGet.srcWakeupByIQButCancel.map(_.asUInt.orR)).asUInt.orR 250aa2bcc31SzhanglyGit val respIssueFail = commonIn.issueResp.valid && RSFeedbackType.isBlocked(commonIn.issueResp.bits.respType) 251aa2bcc31SzhanglyGit val srcWakeupExuOH = if(isEnq) status.srcStatus.map(_.srcWakeUpL1ExuOH.getOrElse(0.U.asTypeOf(ExuVec()))) else hasIQWakeupGet.regSrcWakeupL1ExuOH 252aa2bcc31SzhanglyGit entryRegNext.status.robIdx := status.robIdx 253aa2bcc31SzhanglyGit entryRegNext.status.fuType := IQFuType.readFuType(status.fuType, params.getFuCfgs.map(_.fuType)) 254aa2bcc31SzhanglyGit entryRegNext.status.srcStatus.zip(status.srcStatus).zipWithIndex.foreach { case ((srcStatusNext, srcStatus), srcIdx) => 255aa2bcc31SzhanglyGit val cancel = hasIQWakeupGet.srcCancelVec(srcIdx) 256aa2bcc31SzhanglyGit val wakeupByIQ = hasIQWakeupGet.srcWakeupByIQ(srcIdx).asUInt.orR 257aa2bcc31SzhanglyGit val wakeupByIQOH = hasIQWakeupGet.srcWakeupByIQ(srcIdx) 258aa2bcc31SzhanglyGit val wakeup = common.srcWakeup(srcIdx) 259aa2bcc31SzhanglyGit srcStatusNext.psrc := srcStatus.psrc 260aa2bcc31SzhanglyGit srcStatusNext.srcType := srcStatus.srcType 261aa2bcc31SzhanglyGit srcStatusNext.srcState := Mux(cancel, false.B, wakeup | srcStatus.srcState) 262aa2bcc31SzhanglyGit srcStatusNext.dataSources.value := Mux(wakeupByIQ, DataSource.bypass, DataSource.reg) 263aa2bcc31SzhanglyGit if(params.hasIQWakeUp) { 264aa2bcc31SzhanglyGit srcStatusNext.srcTimer.get := MuxCase(3.U, Seq( 265aa2bcc31SzhanglyGit // T0: waked up by IQ, T1: reset timer as 1 266aa2bcc31SzhanglyGit wakeupByIQ -> 2.U, 267aa2bcc31SzhanglyGit // do not overflow 268aa2bcc31SzhanglyGit srcStatus.srcTimer.get.andR -> srcStatus.srcTimer.get, 269aa2bcc31SzhanglyGit // T2+: increase if the entry is valid, the src is ready, and the src is woken up by iq 270aa2bcc31SzhanglyGit (validReg && SrcState.isReady(srcStatus.srcState) && srcWakeupExuOH(srcIdx).asUInt.orR) -> (srcStatus.srcTimer.get + 1.U) 271aa2bcc31SzhanglyGit )) 272aa2bcc31SzhanglyGit ExuOHGen(srcStatusNext.srcWakeUpL1ExuOH.get, wakeupByIQOH, wakeup, srcWakeupExuOH(srcIdx)) 273aa2bcc31SzhanglyGit srcStatusNext.srcLoadDependency.get := 274aa2bcc31SzhanglyGit Mux(wakeup, 275aa2bcc31SzhanglyGit Mux1H(wakeupByIQOH, hasIQWakeupGet.shiftedWakeupLoadDependencyByIQVec), 276aa2bcc31SzhanglyGit Mux(validReg && srcStatus.srcLoadDependency.get.asUInt.orR, VecInit(srcStatus.srcLoadDependency.get.map(i => i(i.getWidth - 2, 0) << 1)), srcStatus.srcLoadDependency.get)) 277aa2bcc31SzhanglyGit } 278aa2bcc31SzhanglyGit } 279aa2bcc31SzhanglyGit entryRegNext.status.blocked := false.B 280aa2bcc31SzhanglyGit entryRegNext.status.issued := MuxCase(status.issued, Seq( 281aa2bcc31SzhanglyGit (cancelByLd || cancelWhenWakeup || respIssueFail) -> false.B, 282aa2bcc31SzhanglyGit commonIn.deqSel -> true.B, 283aa2bcc31SzhanglyGit !status.srcReady -> false.B, 284aa2bcc31SzhanglyGit )) 285aa2bcc31SzhanglyGit entryRegNext.status.firstIssue := commonIn.deqSel || status.firstIssue 286aa2bcc31SzhanglyGit entryRegNext.status.issueTimer := Mux(commonIn.deqSel, 0.U, Mux(status.issued, status.issueTimer + 1.U, "b10".U)) 287aa2bcc31SzhanglyGit entryRegNext.status.deqPortIdx := Mux(commonIn.deqSel, commonIn.deqPortIdxWrite, Mux(status.issued, status.deqPortIdx, 0.U)) 288aa2bcc31SzhanglyGit entryRegNext.imm.foreach(_ := entryReg.imm.get) 289aa2bcc31SzhanglyGit entryRegNext.payload := entryReg.payload 290aa2bcc31SzhanglyGit 291aa2bcc31SzhanglyGit } 292aa2bcc31SzhanglyGit 293aa2bcc31SzhanglyGit def CommonOutConnect(commonOut: CommonOutBundle, common: CommonWireBundle, hasIQWakeup: Option[CommonIQWakeupBundle], validReg: Bool, entryReg: EntryBundle, status: Status, commonIn: CommonInBundle, isEnq: Boolean)(implicit p: Parameters, params: IssueBlockParams) = { 294aa2bcc31SzhanglyGit val hasIQWakeupGet = hasIQWakeup.getOrElse(0.U.asTypeOf(new CommonIQWakeupBundle)) 295aa2bcc31SzhanglyGit val srcWakeupExuOH = if(isEnq) status.srcStatus.map(_.srcWakeUpL1ExuOH.getOrElse(0.U.asTypeOf(ExuVec()))) else hasIQWakeupGet.regSrcWakeupL1ExuOH 296aa2bcc31SzhanglyGit commonOut.valid := validReg 297aa2bcc31SzhanglyGit commonOut.canIssue := (common.canIssue || hasIQWakeupGet.canIssueBypass) && !common.flushed 298aa2bcc31SzhanglyGit commonOut.fuType := IQFuType.readFuType(status.fuType, params.getFuCfgs.map(_.fuType)).asUInt 299aa2bcc31SzhanglyGit commonOut.robIdx := status.robIdx 300aa2bcc31SzhanglyGit commonOut.dataSource.zipWithIndex.foreach{ case (dataSourceOut, srcIdx) => 301aa2bcc31SzhanglyGit dataSourceOut.value := Mux(hasIQWakeupGet.srcWakeupByIQ(srcIdx).asUInt.orR, DataSource.forward, status.srcStatus(srcIdx).dataSources.value) 302aa2bcc31SzhanglyGit } 303aa2bcc31SzhanglyGit commonOut.isFirstIssue := !status.firstIssue 304aa2bcc31SzhanglyGit commonOut.entry.valid := validReg 305aa2bcc31SzhanglyGit commonOut.entry.bits := entryReg 306aa2bcc31SzhanglyGit if(isEnq) { 307aa2bcc31SzhanglyGit commonOut.entry.bits.status := status 308aa2bcc31SzhanglyGit } 309aa2bcc31SzhanglyGit commonOut.issueTimerRead := status.issueTimer 310aa2bcc31SzhanglyGit commonOut.deqPortIdxRead := status.deqPortIdx 311aa2bcc31SzhanglyGit if(params.hasIQWakeUp) { 312aa2bcc31SzhanglyGit commonOut.srcWakeUpL1ExuOH.get := Mux(hasIQWakeupGet.canIssueBypass && !common.canIssue, hasIQWakeupGet.srcWakeupL1ExuOHOut, VecInit(srcWakeupExuOH)) 313aa2bcc31SzhanglyGit commonOut.srcTimer.get.zipWithIndex.foreach { case (srcTimerOut, srcIdx) => 314aa2bcc31SzhanglyGit val wakeupByIQOH = hasIQWakeupGet.srcWakeupByIQWithoutCancel(srcIdx) 315aa2bcc31SzhanglyGit srcTimerOut := Mux(wakeupByIQOH.asUInt.orR, Mux1H(wakeupByIQOH, commonIn.wakeUpFromIQ.map(_.bits.is0Lat).toSeq).asUInt, status.srcStatus(srcIdx).srcTimer.get) 316aa2bcc31SzhanglyGit } 317aa2bcc31SzhanglyGit commonOut.entry.bits.status.srcStatus.map(_.srcLoadDependency.get).zipWithIndex.foreach { case (srcLoadDependencyOut, srcIdx) => 318aa2bcc31SzhanglyGit srcLoadDependencyOut := Mux(hasIQWakeupGet.canIssueBypass && !common.canIssue, hasIQWakeupGet.srcLoadDependencyOut(srcIdx), status.srcStatus(srcIdx).srcLoadDependency.get) 319aa2bcc31SzhanglyGit } 320aa2bcc31SzhanglyGit } 321aa2bcc31SzhanglyGit commonOut.cancel.foreach(_ := hasIQWakeupGet.cancelVec.asUInt.orR) 322aa2bcc31SzhanglyGit if (params.isVecMemIQ) { 323aa2bcc31SzhanglyGit commonOut.uopIdx.get := status.vecMem.get.uopIdx 324aa2bcc31SzhanglyGit } 325aa2bcc31SzhanglyGit } 326aa2bcc31SzhanglyGit 327aa2bcc31SzhanglyGit def EntryMemConnect(commonIn: CommonInBundle, common: CommonWireBundle, validReg: Bool, entryReg: EntryBundle, entryRegNext: EntryBundle, isEnq: Boolean)(implicit p: Parameters, params: IssueBlockParams) = { 328aa2bcc31SzhanglyGit val enqValid = if(isEnq) commonIn.enq.valid && (!validReg || common.clear) else commonIn.enq.valid && commonIn.transSel 329aa2bcc31SzhanglyGit val fromMem = commonIn.fromMem.get 330aa2bcc31SzhanglyGit val memStatus = entryReg.status.mem.get 331aa2bcc31SzhanglyGit val memStatusNext = entryRegNext.status.mem.get 332aa2bcc31SzhanglyGit 333aa2bcc31SzhanglyGit // load cannot be issued before older store, unless meet some condition 334aa2bcc31SzhanglyGit val blockedByOlderStore = isAfter(memStatusNext.sqIdx, fromMem.stIssuePtr) 335aa2bcc31SzhanglyGit 336aa2bcc31SzhanglyGit val deqFailedForStdInvalid = commonIn.issueResp.valid && commonIn.issueResp.bits.respType === RSFeedbackType.dataInvalid 337aa2bcc31SzhanglyGit 338aa2bcc31SzhanglyGit val staWaitedReleased = Cat( 339aa2bcc31SzhanglyGit fromMem.memWaitUpdateReq.robIdx.map(x => x.valid && x.bits.value === memStatusNext.waitForRobIdx.value) 340aa2bcc31SzhanglyGit ).orR 341aa2bcc31SzhanglyGit val stdWaitedReleased = Cat( 342aa2bcc31SzhanglyGit fromMem.memWaitUpdateReq.sqIdx.map(x => x.valid && x.bits.value === memStatusNext.waitForSqIdx.value) 343aa2bcc31SzhanglyGit ).orR 344aa2bcc31SzhanglyGit val olderStaNotViolate = staWaitedReleased && !memStatusNext.strictWait 345aa2bcc31SzhanglyGit val olderStdReady = stdWaitedReleased && memStatusNext.waitForStd 346aa2bcc31SzhanglyGit val waitStd = !olderStdReady 347aa2bcc31SzhanglyGit val waitSta = !olderStaNotViolate 348aa2bcc31SzhanglyGit 349aa2bcc31SzhanglyGit when(enqValid) { 350aa2bcc31SzhanglyGit memStatusNext.waitForSqIdx := commonIn.enq.bits.status.mem.get.waitForSqIdx 351aa2bcc31SzhanglyGit // update by lfst at dispatch stage 352aa2bcc31SzhanglyGit memStatusNext.waitForRobIdx := commonIn.enq.bits.status.mem.get.waitForRobIdx 353aa2bcc31SzhanglyGit // new load inst don't known if it is blocked by store data ahead of it 354aa2bcc31SzhanglyGit memStatusNext.waitForStd := false.B 355aa2bcc31SzhanglyGit // update by ssit at rename stage 356aa2bcc31SzhanglyGit memStatusNext.strictWait := commonIn.enq.bits.status.mem.get.strictWait 357aa2bcc31SzhanglyGit memStatusNext.sqIdx := commonIn.enq.bits.status.mem.get.sqIdx 358aa2bcc31SzhanglyGit }.elsewhen(deqFailedForStdInvalid) { 359aa2bcc31SzhanglyGit // Todo: check if need assign statusNext.block 360aa2bcc31SzhanglyGit memStatusNext.waitForSqIdx := commonIn.issueResp.bits.dataInvalidSqIdx 361aa2bcc31SzhanglyGit memStatusNext.waitForRobIdx := memStatus.waitForRobIdx 362aa2bcc31SzhanglyGit memStatusNext.waitForStd := true.B 363aa2bcc31SzhanglyGit memStatusNext.strictWait := memStatus.strictWait 364aa2bcc31SzhanglyGit memStatusNext.sqIdx := memStatus.sqIdx 365aa2bcc31SzhanglyGit }.otherwise { 366aa2bcc31SzhanglyGit memStatusNext := memStatus 367aa2bcc31SzhanglyGit } 368aa2bcc31SzhanglyGit 369aa2bcc31SzhanglyGit val shouldBlock = Mux(commonIn.enq.valid && commonIn.transSel, commonIn.enq.bits.status.blocked, entryReg.status.blocked) 370aa2bcc31SzhanglyGit val blockNotReleased = waitStd || waitSta 371aa2bcc31SzhanglyGit val respBlock = deqFailedForStdInvalid 372aa2bcc31SzhanglyGit entryRegNext.status.blocked := shouldBlock && blockNotReleased && blockedByOlderStore || respBlock 373aa2bcc31SzhanglyGit shouldBlock && blockNotReleased && blockedByOlderStore || respBlock 374aa2bcc31SzhanglyGit } 375aa2bcc31SzhanglyGit 376aa2bcc31SzhanglyGit def ExuOHGen(exuOH: Vec[Bool], wakeupByIQOH: Vec[Bool], wakeup: Bool, regSrcExuOH: Vec[Bool])(implicit p: Parameters, params: IssueBlockParams) = { 377aa2bcc31SzhanglyGit val origExuOH = 0.U.asTypeOf(exuOH) 378aa2bcc31SzhanglyGit when(wakeupByIQOH.asUInt.orR) { 379aa2bcc31SzhanglyGit origExuOH := Mux1H(wakeupByIQOH, params.wakeUpSourceExuIdx.map(x => MathUtils.IntToOH(x).U(p(XSCoreParamsKey).backendParams.numExu.W)).toSeq).asBools 380aa2bcc31SzhanglyGit }.elsewhen(wakeup) { 381aa2bcc31SzhanglyGit origExuOH := 0.U.asTypeOf(origExuOH) 382aa2bcc31SzhanglyGit }.otherwise { 383aa2bcc31SzhanglyGit origExuOH := regSrcExuOH 384aa2bcc31SzhanglyGit } 385aa2bcc31SzhanglyGit exuOH := 0.U.asTypeOf(exuOH) 386aa2bcc31SzhanglyGit params.wakeUpSourceExuIdx.foreach(x => exuOH(x) := origExuOH(x)) 387aa2bcc31SzhanglyGit } 388aa2bcc31SzhanglyGit 389aa2bcc31SzhanglyGit object IQFuType { 390aa2bcc31SzhanglyGit def num = FuType.num 391aa2bcc31SzhanglyGit 392aa2bcc31SzhanglyGit def apply() = Vec(num, Bool()) 393aa2bcc31SzhanglyGit 394aa2bcc31SzhanglyGit def readFuType(fuType: Vec[Bool], fus: Seq[FuType.OHType]): Vec[Bool] = { 395aa2bcc31SzhanglyGit val res = 0.U.asTypeOf(fuType) 396aa2bcc31SzhanglyGit fus.foreach(x => res(x.id) := fuType(x.id)) 397aa2bcc31SzhanglyGit res 398aa2bcc31SzhanglyGit } 399aa2bcc31SzhanglyGit } 400aa2bcc31SzhanglyGit} 401