1aa2bcc31SzhanglyGitpackage xiangshan.backend.issue 2aa2bcc31SzhanglyGit 3aa2bcc31SzhanglyGitimport org.chipsalliance.cde.config.Parameters 4aa2bcc31SzhanglyGitimport chisel3._ 5aa2bcc31SzhanglyGitimport chisel3.util._ 6ac90e54aSxiaofeibao-xjtuimport ujson.IndexedValue.True 7bb2f3f51STang Haojinimport utils.MathUtils 8bb2f3f51STang Haojinimport utility.{HasCircularQueuePtrHelper, XSError} 9aa2bcc31SzhanglyGitimport xiangshan._ 10aa2bcc31SzhanglyGitimport xiangshan.backend.Bundles._ 11aa2bcc31SzhanglyGitimport xiangshan.backend.datapath.DataSource 12aa2bcc31SzhanglyGitimport xiangshan.backend.fu.FuType 136dbb4e08SXuan Huimport xiangshan.backend.fu.vector.Bundles.NumLsElem 14aa2bcc31SzhanglyGitimport xiangshan.backend.rob.RobPtr 159e12e8edScz4eimport xiangshan.mem.{LqPtr, SqPtr} 16*99ce5576Scz4eimport xiangshan.mem.Bundles.MemWaitUpdateReqBundle 17aa2bcc31SzhanglyGit 18aa2bcc31SzhanglyGitobject EntryBundles extends HasCircularQueuePtrHelper { 19aa2bcc31SzhanglyGit 20aa2bcc31SzhanglyGit class Status(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 21aa2bcc31SzhanglyGit //basic status 22aa2bcc31SzhanglyGit val robIdx = new RobPtr 23aa2bcc31SzhanglyGit val fuType = IQFuType() 24aa2bcc31SzhanglyGit //src status 25aa2bcc31SzhanglyGit val srcStatus = Vec(params.numRegSrc, new SrcStatus) 26aa2bcc31SzhanglyGit //issue status 27aa2bcc31SzhanglyGit val blocked = Bool() 28aa2bcc31SzhanglyGit val issued = Bool() 29aa2bcc31SzhanglyGit val firstIssue = Bool() 30aa2bcc31SzhanglyGit val issueTimer = UInt(2.W) 31aa2bcc31SzhanglyGit val deqPortIdx = UInt(1.W) 32aa2bcc31SzhanglyGit //vector mem status 33bb2f3f51STang Haojin val vecMem = Option.when(params.isVecMemIQ)(new StatusVecMemPart) 34aa2bcc31SzhanglyGit 35aa2bcc31SzhanglyGit def srcReady: Bool = { 36aa2bcc31SzhanglyGit VecInit(srcStatus.map(_.srcState).map(SrcState.isReady)).asUInt.andR 37aa2bcc31SzhanglyGit } 38aa2bcc31SzhanglyGit 39aa2bcc31SzhanglyGit def canIssue: Bool = { 40aa2bcc31SzhanglyGit srcReady && !issued && !blocked 41aa2bcc31SzhanglyGit } 42aa2bcc31SzhanglyGit 43eea4a3caSzhanglyGit def mergedLoadDependency: Vec[UInt] = { 44eea4a3caSzhanglyGit srcStatus.map(_.srcLoadDependency).reduce({ 45aa2bcc31SzhanglyGit case (l: Vec[UInt], r: Vec[UInt]) => VecInit(l.zip(r).map(x => x._1 | x._2)) 46eea4a3caSzhanglyGit }: (Vec[UInt], Vec[UInt]) => Vec[UInt]) 47aa2bcc31SzhanglyGit } 48aa2bcc31SzhanglyGit } 49aa2bcc31SzhanglyGit 50aa2bcc31SzhanglyGit class SrcStatus(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 51aa2bcc31SzhanglyGit val psrc = UInt(params.rdPregIdxWidth.W) 52aa2bcc31SzhanglyGit val srcType = SrcType() 53aa2bcc31SzhanglyGit val srcState = SrcState() 54aa2bcc31SzhanglyGit val dataSources = DataSource() 55ec49b127Ssinsanction val srcLoadDependency = Vec(LoadPipelineWidth, UInt(LoadDependencyWidth.W)) 56f57d73d6Ssinsanction val exuSources = Option.when(params.hasIQWakeUp)(ExuSource()) 574c2a845dSsinsanction //reg cache 584c2a845dSsinsanction val useRegCache = Option.when(params.needReadRegCache)(Bool()) 594c2a845dSsinsanction val regCacheIdx = Option.when(params.needReadRegCache)(UInt(RegCacheIdxWidth.W)) 60aa2bcc31SzhanglyGit } 61aa2bcc31SzhanglyGit 62aa2bcc31SzhanglyGit class StatusVecMemPart(implicit p:Parameters, params: IssueBlockParams) extends Bundle { 63aa2bcc31SzhanglyGit val sqIdx = new SqPtr 64aa2bcc31SzhanglyGit val lqIdx = new LqPtr 656dbb4e08SXuan Hu val numLsElem = NumLsElem() 66aa2bcc31SzhanglyGit } 67aa2bcc31SzhanglyGit 6842b6cdf9Ssinsanction class EntryDeqRespBundle(implicit p: Parameters, val params: IssueBlockParams) extends XSBundle { 69aa2bcc31SzhanglyGit val robIdx = new RobPtr 70f08a822fSzhanglyGit val resp = RespType() 71aa2bcc31SzhanglyGit val fuType = FuType() 72bb2f3f51STang Haojin val uopIdx = Option.when(params.isVecMemIQ)(Output(UopIdx())) 73bb2f3f51STang Haojin val sqIdx = Option.when(params.needFeedBackSqIdx)(new SqPtr()) 74bb2f3f51STang Haojin val lqIdx = Option.when(params.needFeedBackLqIdx)(new LqPtr()) 75aa2bcc31SzhanglyGit } 76aa2bcc31SzhanglyGit 77f08a822fSzhanglyGit object RespType { 78f08a822fSzhanglyGit def apply() = UInt(2.W) 79f08a822fSzhanglyGit 80f08a822fSzhanglyGit def isBlocked(resp: UInt) = { 81f08a822fSzhanglyGit resp === block 82f08a822fSzhanglyGit } 83f08a822fSzhanglyGit 84f08a822fSzhanglyGit def succeed(resp: UInt) = { 85f08a822fSzhanglyGit resp === success 86f08a822fSzhanglyGit } 87f08a822fSzhanglyGit 88f08a822fSzhanglyGit val block = "b00".U 89f08a822fSzhanglyGit val uncertain = "b01".U 90f08a822fSzhanglyGit val success = "b11".U 91f08a822fSzhanglyGit } 92f08a822fSzhanglyGit 93aa2bcc31SzhanglyGit class EntryBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 94aa2bcc31SzhanglyGit val status = new Status() 95bb2f3f51STang Haojin val imm = Option.when(params.needImm)(UInt((params.deqImmTypesMaxLen).W)) 96aa2bcc31SzhanglyGit val payload = new DynInst() 97aa2bcc31SzhanglyGit } 98aa2bcc31SzhanglyGit 99aa2bcc31SzhanglyGit class CommonInBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 100aa2bcc31SzhanglyGit val flush = Flipped(ValidIO(new Redirect)) 101aa2bcc31SzhanglyGit val enq = Flipped(ValidIO(new EntryBundle)) 102aa2bcc31SzhanglyGit //wakeup 103aa2bcc31SzhanglyGit val wakeUpFromWB: MixedVec[ValidIO[IssueQueueWBWakeUpBundle]] = Flipped(params.genWBWakeUpSinkValidBundle) 104aa2bcc31SzhanglyGit val wakeUpFromIQ: MixedVec[ValidIO[IssueQueueIQWakeUpBundle]] = Flipped(params.genIQWakeUpSinkValidBundle) 105b6279fc6SZiyue Zhang // vl 106d88d4328SZiyue Zhang val vlFromIntIsZero = Input(Bool()) 107d88d4328SZiyue Zhang val vlFromIntIsVlmax = Input(Bool()) 108d88d4328SZiyue Zhang val vlFromVfIsZero = Input(Bool()) 109d88d4328SZiyue Zhang val vlFromVfIsVlmax = Input(Bool()) 110aa2bcc31SzhanglyGit //cancel 111be9ff987Ssinsanction val og0Cancel = Input(ExuVec()) 112be9ff987Ssinsanction val og1Cancel = Input(ExuVec()) 113aa2bcc31SzhanglyGit val ldCancel = Vec(backendParams.LdExuCnt, Flipped(new LoadCancelIO)) 114aa2bcc31SzhanglyGit //deq sel 115aa2bcc31SzhanglyGit val deqSel = Input(Bool()) 116aa2bcc31SzhanglyGit val deqPortIdxWrite = Input(UInt(1.W)) 117aa2bcc31SzhanglyGit val issueResp = Flipped(ValidIO(new EntryDeqRespBundle)) 118aa2bcc31SzhanglyGit //trans sel 119aa2bcc31SzhanglyGit val transSel = Input(Bool()) 120aa2bcc31SzhanglyGit // vector mem only 121bb2f3f51STang Haojin val fromLsq = Option.when(params.isVecMemIQ)(new Bundle { 122aa2bcc31SzhanglyGit val sqDeqPtr = Input(new SqPtr) 123aa2bcc31SzhanglyGit val lqDeqPtr = Input(new LqPtr) 124aa2bcc31SzhanglyGit }) 125aa2bcc31SzhanglyGit } 126aa2bcc31SzhanglyGit 127aa2bcc31SzhanglyGit class CommonOutBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 128aa2bcc31SzhanglyGit //status 129aa2bcc31SzhanglyGit val valid = Output(Bool()) 130c0beb497Sxiaofeibao val issued = Output(Bool()) 131aa2bcc31SzhanglyGit val canIssue = Output(Bool()) 132aa2bcc31SzhanglyGit val fuType = Output(FuType()) 133aa2bcc31SzhanglyGit val robIdx = Output(new RobPtr) 134bb2f3f51STang Haojin val uopIdx = Option.when(params.isVecMemIQ)(Output(UopIdx())) 135aa2bcc31SzhanglyGit //src 136f57d73d6Ssinsanction val dataSources = Vec(params.numRegSrc, Output(DataSource())) 137f57d73d6Ssinsanction val exuSources = Option.when(params.hasIQWakeUp)(Vec(params.numRegSrc, Output(ExuSource()))) 138aa2bcc31SzhanglyGit //deq 139aa2bcc31SzhanglyGit val isFirstIssue = Output(Bool()) 140aa2bcc31SzhanglyGit val entry = ValidIO(new EntryBundle) 141ec49b127Ssinsanction val cancelBypass = Output(Bool()) 142aa2bcc31SzhanglyGit val deqPortIdxRead = Output(UInt(1.W)) 143aa2bcc31SzhanglyGit val issueTimerRead = Output(UInt(2.W)) 144397c0f33Ssinsanction //trans 145397c0f33Ssinsanction val enqReady = Output(Bool()) 146397c0f33Ssinsanction val transEntry = ValidIO(new EntryBundle) 147aa2bcc31SzhanglyGit // debug 148a6938b17Ssinsanction val entryInValid = Output(Bool()) 149a6938b17Ssinsanction val entryOutDeqValid = Output(Bool()) 150a6938b17Ssinsanction val entryOutTransValid = Output(Bool()) 151bb2f3f51STang Haojin val perfLdCancel = Option.when(params.hasIQWakeUp)(Output(Vec(params.numRegSrc, Bool()))) 152bb2f3f51STang Haojin val perfOg0Cancel = Option.when(params.hasIQWakeUp)(Output(Vec(params.numRegSrc, Bool()))) 153e3ef3537Ssinsanction val perfWakeupByWB = Output(Vec(params.numRegSrc, Bool())) 154bb2f3f51STang Haojin val perfWakeupByIQ = Option.when(params.hasIQWakeUp)(Output(Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool())))) 155aa2bcc31SzhanglyGit } 156aa2bcc31SzhanglyGit 157aa2bcc31SzhanglyGit class CommonWireBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 158aa2bcc31SzhanglyGit val validRegNext = Bool() 159aa2bcc31SzhanglyGit val flushed = Bool() 160aa2bcc31SzhanglyGit val clear = Bool() 161aa2bcc31SzhanglyGit val canIssue = Bool() 162aa2bcc31SzhanglyGit val enqReady = Bool() 163aa2bcc31SzhanglyGit val deqSuccess = Bool() 164aa2bcc31SzhanglyGit val srcWakeupByWB = Vec(params.numRegSrc, Bool()) 165d88d4328SZiyue Zhang val vlWakeupByIntWb = Bool() 166d88d4328SZiyue Zhang val vlWakeupByVfWb = Bool() 167eea4a3caSzhanglyGit val srcCancelVec = Vec(params.numRegSrc, Bool()) 168eea4a3caSzhanglyGit val srcLoadCancelVec = Vec(params.numRegSrc, Bool()) 169e311c278Ssinsanction val srcLoadTransCancelVec = Vec(params.numRegSrc, Bool()) 170ec49b127Ssinsanction val srcLoadDependencyNext = Vec(params.numRegSrc, Vec(LoadPipelineWidth, UInt(LoadDependencyWidth.W))) 171aa2bcc31SzhanglyGit } 172aa2bcc31SzhanglyGit 1730dfdb52aSzhanglyGit def CommonWireConnect(common: CommonWireBundle, hasIQWakeup: Option[CommonIQWakeupBundle], validReg: Bool, status: Status, commonIn: CommonInBundle, isEnq: Boolean)(implicit p: Parameters, params: IssueBlockParams) = { 174aa2bcc31SzhanglyGit val hasIQWakeupGet = hasIQWakeup.getOrElse(0.U.asTypeOf(new CommonIQWakeupBundle)) 1750dfdb52aSzhanglyGit common.flushed := status.robIdx.needFlush(commonIn.flush) 176ac90e54aSxiaofeibao-xjtu common.deqSuccess := (if (params.isVecMemIQ) status.issued else true.B) && 177ac90e54aSxiaofeibao-xjtu commonIn.issueResp.valid && RespType.succeed(commonIn.issueResp.bits.resp) && !common.srcLoadCancelVec.asUInt.orR 1788dd32220Ssinsanction common.srcWakeupByWB := commonIn.wakeUpFromWB.map{ bundle => 1798dd32220Ssinsanction val psrcSrcTypeVec = status.srcStatus.map(_.psrc) zip status.srcStatus.map(_.srcType) 1808dd32220Ssinsanction if (params.numRegSrc == 5) { 1818dd32220Ssinsanction bundle.bits.wakeUp(psrcSrcTypeVec.take(3), bundle.valid) :+ 1828dd32220Ssinsanction bundle.bits.wakeUpV0(psrcSrcTypeVec(3), bundle.valid) :+ 1838dd32220Ssinsanction bundle.bits.wakeUpVl(psrcSrcTypeVec(4), bundle.valid) 1848dd32220Ssinsanction } 1858dd32220Ssinsanction else 1868dd32220Ssinsanction bundle.bits.wakeUp(psrcSrcTypeVec, bundle.valid) 1878dd32220Ssinsanction }.transpose.map(x => VecInit(x.toSeq).asUInt.orR).toSeq 188a4d38a63SzhanglyGit common.canIssue := validReg && status.canIssue 189ff671587Sxiaofeibao common.enqReady := !validReg || commonIn.transSel 19028607074Ssinsanction common.clear := common.flushed || common.deqSuccess || commonIn.transSel 191e311c278Ssinsanction common.srcCancelVec.zip(hasIQWakeupGet.srcWakeupByIQWithoutCancel).zipWithIndex.foreach { case ((srcCancel, wakeUpByIQVec), srcIdx) => 192e311c278Ssinsanction common.srcLoadTransCancelVec(srcIdx) := (if(params.hasIQWakeUp) Mux1H(wakeUpByIQVec, hasIQWakeupGet.wakeupLoadDependencyByIQVec.map(dep => LoadShouldCancel(Some(dep), commonIn.ldCancel))) else false.B) 193e311c278Ssinsanction common.srcLoadCancelVec(srcIdx) := LoadShouldCancel(Some(status.srcStatus(srcIdx).srcLoadDependency), commonIn.ldCancel) 194e311c278Ssinsanction srcCancel := common.srcLoadTransCancelVec(srcIdx) || common.srcLoadCancelVec(srcIdx) 195eea4a3caSzhanglyGit } 196ec49b127Ssinsanction common.srcLoadDependencyNext.zip(status.srcStatus.map(_.srcLoadDependency)).foreach { case (ldsNext, lds) => 197ec49b127Ssinsanction ldsNext.zip(lds).foreach{ case (ldNext, ld) => ldNext := ld << 1 } 198eea4a3caSzhanglyGit } 199aa2bcc31SzhanglyGit if(isEnq) { 200aa2bcc31SzhanglyGit common.validRegNext := Mux(commonIn.enq.valid && common.enqReady, true.B, Mux(common.clear, false.B, validReg)) 201aa2bcc31SzhanglyGit } else { 20228607074Ssinsanction common.validRegNext := Mux(commonIn.enq.valid, true.B, Mux(common.clear, false.B, validReg)) 203aa2bcc31SzhanglyGit } 204b6279fc6SZiyue Zhang if (params.numRegSrc == 5) { 205b6279fc6SZiyue Zhang // only when numRegSrc == 5 need vl 206d88d4328SZiyue Zhang val wakeUpFromVl = VecInit(commonIn.wakeUpFromWB.map{ bundle => 207d88d4328SZiyue Zhang val psrcSrcTypeVec = status.srcStatus.map(_.psrc) zip status.srcStatus.map(_.srcType) 208d88d4328SZiyue Zhang bundle.bits.wakeUpVl(psrcSrcTypeVec(4), bundle.valid) 209d88d4328SZiyue Zhang }) 210d88d4328SZiyue Zhang var numVecWb = params.backendParam.getVfWBExeGroup.size 211d88d4328SZiyue Zhang var numV0Wb = params.backendParam.getV0WBExeGroup.size 2124376b525SZiyue Zhang var intSchdVlWbPort = p(XSCoreParamsKey).intSchdVlWbPort 2134376b525SZiyue Zhang var vfSchdVlWbPort = p(XSCoreParamsKey).vfSchdVlWbPort 214d88d4328SZiyue Zhang // int wb is first bit of vlwb, which is after vfwb and v0wb 2154376b525SZiyue Zhang common.vlWakeupByIntWb := wakeUpFromVl(numVecWb + numV0Wb + intSchdVlWbPort) 216d88d4328SZiyue Zhang // vf wb is second bit of wb 2174376b525SZiyue Zhang common.vlWakeupByVfWb := wakeUpFromVl(numVecWb + numV0Wb + vfSchdVlWbPort) 218b6279fc6SZiyue Zhang } else { 219d88d4328SZiyue Zhang common.vlWakeupByIntWb := false.B 220d88d4328SZiyue Zhang common.vlWakeupByVfWb := false.B 221b6279fc6SZiyue Zhang } 222aa2bcc31SzhanglyGit } 223aa2bcc31SzhanglyGit 224aa2bcc31SzhanglyGit class CommonIQWakeupBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 225aa2bcc31SzhanglyGit val srcWakeupByIQ = Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool())) 226aa2bcc31SzhanglyGit val srcWakeupByIQWithoutCancel = Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool())) 227aa2bcc31SzhanglyGit val srcWakeupByIQButCancel = Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool())) 228ec49b127Ssinsanction val wakeupLoadDependencyByIQVec = Vec(params.numWakeupFromIQ, Vec(LoadPipelineWidth, UInt(LoadDependencyWidth.W))) 229ec49b127Ssinsanction val shiftedWakeupLoadDependencyByIQVec = Vec(params.numWakeupFromIQ, Vec(LoadPipelineWidth, UInt(LoadDependencyWidth.W))) 230aa2bcc31SzhanglyGit val canIssueBypass = Bool() 231aa2bcc31SzhanglyGit } 232aa2bcc31SzhanglyGit 233aa2bcc31SzhanglyGit def CommonIQWakeupConnect(common: CommonWireBundle, hasIQWakeupGet: CommonIQWakeupBundle, validReg: Bool, status: Status, commonIn: CommonInBundle, isEnq: Boolean)(implicit p: Parameters, params: IssueBlockParams) = { 2348dd32220Ssinsanction val wakeupVec: Seq[Seq[Bool]] = commonIn.wakeUpFromIQ.map{(bundle: ValidIO[IssueQueueIQWakeUpBundle]) => 2358dd32220Ssinsanction val psrcSrcTypeVec = status.srcStatus.map(_.psrc) zip status.srcStatus.map(_.srcType) 2368dd32220Ssinsanction if (params.numRegSrc == 5) { 2378dd32220Ssinsanction bundle.bits.wakeUpFromIQ(psrcSrcTypeVec.take(3)) :+ 2388dd32220Ssinsanction bundle.bits.wakeUpV0FromIQ(psrcSrcTypeVec(3)) :+ 2398dd32220Ssinsanction bundle.bits.wakeUpVlFromIQ(psrcSrcTypeVec(4)) 2408dd32220Ssinsanction } 2418dd32220Ssinsanction else 2428dd32220Ssinsanction bundle.bits.wakeUpFromIQ(psrcSrcTypeVec) 2438dd32220Ssinsanction }.toSeq.transpose 244aa2bcc31SzhanglyGit val cancelSel = params.wakeUpSourceExuIdx.zip(commonIn.wakeUpFromIQ).map { case (x, y) => commonIn.og0Cancel(x) && y.bits.is0Lat } 245aa2bcc31SzhanglyGit 246aa2bcc31SzhanglyGit hasIQWakeupGet.srcWakeupByIQ := wakeupVec.map(x => VecInit(x.zip(cancelSel).map { case (wakeup, cancel) => wakeup && !cancel })) 247aa2bcc31SzhanglyGit hasIQWakeupGet.srcWakeupByIQButCancel := wakeupVec.map(x => VecInit(x.zip(cancelSel).map { case (wakeup, cancel) => wakeup && cancel })) 248aa2bcc31SzhanglyGit hasIQWakeupGet.srcWakeupByIQWithoutCancel := wakeupVec.map(x => VecInit(x)) 249aa2bcc31SzhanglyGit hasIQWakeupGet.wakeupLoadDependencyByIQVec := commonIn.wakeUpFromIQ.map(_.bits.loadDependency).toSeq 250aa2bcc31SzhanglyGit hasIQWakeupGet.canIssueBypass := validReg && !status.issued && !status.blocked && 251aa2bcc31SzhanglyGit VecInit(status.srcStatus.map(_.srcState).zip(hasIQWakeupGet.srcWakeupByIQWithoutCancel).zipWithIndex.map { case ((state, wakeupVec), srcIdx) => 252a4d38a63SzhanglyGit wakeupVec.asUInt.orR | state 253aa2bcc31SzhanglyGit }).asUInt.andR 254aa2bcc31SzhanglyGit } 255aa2bcc31SzhanglyGit 256aa2bcc31SzhanglyGit 257aa2bcc31SzhanglyGit def ShiftLoadDependency(hasIQWakeupGet: CommonIQWakeupBundle)(implicit p: Parameters, params: IssueBlockParams) = { 258aa2bcc31SzhanglyGit hasIQWakeupGet.shiftedWakeupLoadDependencyByIQVec 259aa2bcc31SzhanglyGit .zip(hasIQWakeupGet.wakeupLoadDependencyByIQVec) 260aa2bcc31SzhanglyGit .zip(params.wakeUpInExuSources.map(_.name)).foreach { 261aa2bcc31SzhanglyGit case ((deps, originalDeps), name) => deps.zip(originalDeps).zipWithIndex.foreach { 262aa2bcc31SzhanglyGit case ((dep, originalDep), deqPortIdx) => 26380c686d5SzhanglyGit if (params.backendParam.getLdExuIdx(params.backendParam.allExuParams.find(_.name == name).get) == deqPortIdx) 264d2fb0dcdSzhanglyGit dep := 1.U 265aa2bcc31SzhanglyGit else 266aa2bcc31SzhanglyGit dep := originalDep << 1 267aa2bcc31SzhanglyGit } 268aa2bcc31SzhanglyGit } 269aa2bcc31SzhanglyGit } 270aa2bcc31SzhanglyGit 271f57d73d6Ssinsanction def wakeUpByVf(exuSource: ExuSource)(implicit p: Parameters, params: IssueBlockParams): Bool = { 2722734c4a6Sxiao feibao val allExuParams = p(XSCoreParamsKey).backendParams.allExuParams 273f57d73d6Ssinsanction exuSource.toExuOH(params).zip(allExuParams).map{case (oh,e) => 2742734c4a6Sxiao feibao if (e.isVfExeUnit) oh else false.B 2752734c4a6Sxiao feibao }.reduce(_ || _) 276aa2bcc31SzhanglyGit } 277aa2bcc31SzhanglyGit 278e311c278Ssinsanction def EntryRegCommonConnect(common: CommonWireBundle, hasIQWakeup: Option[CommonIQWakeupBundle], validReg: Bool, entryUpdate: EntryBundle, entryReg: EntryBundle, status: Status, commonIn: CommonInBundle, isEnq: Boolean, isComp: Boolean)(implicit p: Parameters, params: IssueBlockParams) = { 279aa2bcc31SzhanglyGit val hasIQWakeupGet = hasIQWakeup.getOrElse(0.U.asTypeOf(new CommonIQWakeupBundle)) 280e311c278Ssinsanction val cancelBypassVec = Wire(Vec(params.numRegSrc, Bool())) 281e311c278Ssinsanction val srcCancelByLoad = common.srcLoadCancelVec.asUInt.orR 282f08a822fSzhanglyGit val respIssueFail = commonIn.issueResp.valid && RespType.isBlocked(commonIn.issueResp.bits.resp) 283397c0f33Ssinsanction entryUpdate.status.robIdx := status.robIdx 284397c0f33Ssinsanction entryUpdate.status.fuType := IQFuType.readFuType(status.fuType, params.getFuCfgs.map(_.fuType)) 285397c0f33Ssinsanction entryUpdate.status.srcStatus.zip(status.srcStatus).zipWithIndex.foreach { case ((srcStatusNext, srcStatus), srcIdx) => 286e311c278Ssinsanction val srcLoadCancel = common.srcLoadCancelVec(srcIdx) 287e311c278Ssinsanction val loadTransCancel = common.srcLoadTransCancelVec(srcIdx) 288e311c278Ssinsanction val wakeupByWB = common.srcWakeupByWB(srcIdx) 289e311c278Ssinsanction val wakeupByIQ = hasIQWakeupGet.srcWakeupByIQ(srcIdx).asUInt.orR && !loadTransCancel 290aa2bcc31SzhanglyGit val wakeupByIQOH = hasIQWakeupGet.srcWakeupByIQ(srcIdx) 291e311c278Ssinsanction val wakeupByMemIQ = wakeupByIQOH.zip(commonIn.wakeUpFromIQ).filter(_._2.bits.params.isMemExeUnit).map(_._1).fold(false.B)(_ || _) 292e311c278Ssinsanction cancelBypassVec(srcIdx) := (if (isComp) Mux(hasIQWakeupGet.srcWakeupByIQWithoutCancel(srcIdx).asUInt.orR, loadTransCancel, srcLoadCancel) 293e311c278Ssinsanction else srcLoadCancel) 294b6279fc6SZiyue Zhang 295b6279fc6SZiyue Zhang val ignoreOldVd = Wire(Bool()) 296d88d4328SZiyue Zhang val vlWakeUpByIntWb = common.vlWakeupByIntWb 297d88d4328SZiyue Zhang val vlWakeUpByVfWb = common.vlWakeupByVfWb 2984376b525SZiyue Zhang val isDependOldVd = entryReg.payload.vpu.isDependOldVd 299d8ceb649SZiyue Zhang val isWritePartVd = entryReg.payload.vpu.isWritePartVd 300b6279fc6SZiyue Zhang val vta = entryReg.payload.vpu.vta 301b6279fc6SZiyue Zhang val vma = entryReg.payload.vpu.vma 302b6279fc6SZiyue Zhang val vm = entryReg.payload.vpu.vm 303d88d4328SZiyue Zhang val vlFromIntIsZero = commonIn.vlFromIntIsZero 304d88d4328SZiyue Zhang val vlFromIntIsVlmax = commonIn.vlFromIntIsVlmax 305d88d4328SZiyue Zhang val vlFromVfIsZero = commonIn.vlFromVfIsZero 306d88d4328SZiyue Zhang val vlFromVfIsVlmax = commonIn.vlFromVfIsVlmax 307d88d4328SZiyue Zhang val vlIsVlmax = (vlFromIntIsVlmax && vlWakeUpByIntWb) || (vlFromVfIsVlmax && vlWakeUpByVfWb) 308d88d4328SZiyue Zhang val vlIsNonZero = (!vlFromIntIsZero && vlWakeUpByIntWb) || (!vlFromVfIsZero && vlWakeUpByVfWb) 309d8ceb649SZiyue Zhang val ignoreTail = vlIsVlmax && (vm =/= 0.U || vma) && !isWritePartVd 310d88d4328SZiyue Zhang val ignoreWhole = (vm =/= 0.U || vma) && vta 311cc991b08SZiyue Zhang val srcIsVec = SrcType.isVp(srcStatus.srcType) 312b6279fc6SZiyue Zhang if (params.numVfSrc > 0 && srcIdx == 2) { 313b6279fc6SZiyue Zhang /** 314b6279fc6SZiyue Zhang * the src store the old vd, update it when vl is write back 315b6279fc6SZiyue Zhang * 1. when the instruction depend on old vd, we cannot set the srctype to imm, we will update the method of uop split to avoid this situation soon 316b6279fc6SZiyue Zhang * 2. when vl = 0, we cannot set the srctype to imm because the vd keep the old value 317b6279fc6SZiyue Zhang * 3. when vl = vlmax, we can set srctype to imm when vta is not set 318b6279fc6SZiyue Zhang */ 31972090f4cSZiyue Zhang ignoreOldVd := srcIsVec && vlIsNonZero && !isDependOldVd && (ignoreTail || ignoreWhole) 320b6279fc6SZiyue Zhang } else { 321b6279fc6SZiyue Zhang ignoreOldVd := false.B 322b6279fc6SZiyue Zhang } 323b6279fc6SZiyue Zhang 324aa2bcc31SzhanglyGit srcStatusNext.psrc := srcStatus.psrc 325b6279fc6SZiyue Zhang srcStatusNext.srcType := Mux(ignoreOldVd, SrcType.no, srcStatus.srcType) 326e311c278Ssinsanction srcStatusNext.srcState := srcStatus.srcState & !srcLoadCancel | wakeupByWB | wakeupByIQ | ignoreOldVd 3274fa640e4Ssinsanction srcStatusNext.dataSources.value := (if (params.inVfSchd && params.readVfRf && params.hasIQWakeUp) { 328c4cabf18Ssinsanction // Vf / Mem -> Vf 329c4cabf18Ssinsanction MuxCase(srcStatus.dataSources.value, Seq( 330e311c278Ssinsanction ignoreOldVd -> DataSource.imm, 331e311c278Ssinsanction (wakeupByIQ && wakeupByMemIQ) -> DataSource.bypass2, 332e311c278Ssinsanction (wakeupByIQ && !wakeupByMemIQ) -> DataSource.bypass, 333c4cabf18Ssinsanction srcStatus.dataSources.readBypass -> DataSource.bypass2, 334c4cabf18Ssinsanction srcStatus.dataSources.readBypass2 -> DataSource.reg, 335aa2bcc31SzhanglyGit )) 3364fa640e4Ssinsanction } 337a75d561cSsinsanction else if (params.inMemSchd && params.readVfRf && params.hasIQWakeUp) { 338c4cabf18Ssinsanction // Vf / Int -> Mem 339c4cabf18Ssinsanction MuxCase(srcStatus.dataSources.value, Seq( 340c4cabf18Ssinsanction wakeupByIQ -> DataSource.bypass, 341f57d73d6Ssinsanction (srcStatus.dataSources.readBypass && wakeUpByVf(srcStatus.exuSources.get)) -> DataSource.bypass2, 342f57d73d6Ssinsanction (srcStatus.dataSources.readBypass && !wakeUpByVf(srcStatus.exuSources.get)) -> DataSource.reg, 343c4cabf18Ssinsanction srcStatus.dataSources.readBypass2 -> DataSource.reg, 344c4cabf18Ssinsanction )) 345a75d561cSsinsanction } 346c4cabf18Ssinsanction else { 347c4cabf18Ssinsanction MuxCase(srcStatus.dataSources.value, Seq( 348e311c278Ssinsanction ignoreOldVd -> DataSource.imm, 349c4cabf18Ssinsanction wakeupByIQ -> DataSource.bypass, 350c4cabf18Ssinsanction srcStatus.dataSources.readBypass -> DataSource.reg, 351c4cabf18Ssinsanction )) 352c4cabf18Ssinsanction }) 353aa2bcc31SzhanglyGit if(params.hasIQWakeUp) { 354f57d73d6Ssinsanction srcStatusNext.exuSources.get.value := Mux(wakeupByIQOH.asUInt.orR, 355f57d73d6Ssinsanction ExuSource().fromExuOH(params, Mux1H(wakeupByIQOH, params.wakeUpSourceExuIdx.map(x => MathUtils.IntToOH(x).U(p(XSCoreParamsKey).backendParams.numExu.W)))), 356f57d73d6Ssinsanction srcStatus.exuSources.get.value) 357ec49b127Ssinsanction srcStatusNext.srcLoadDependency := Mux(wakeupByIQ, 358aa2bcc31SzhanglyGit Mux1H(wakeupByIQOH, hasIQWakeupGet.shiftedWakeupLoadDependencyByIQVec), 359ec49b127Ssinsanction common.srcLoadDependencyNext(srcIdx)) 360eea4a3caSzhanglyGit } else { 361ec49b127Ssinsanction srcStatusNext.srcLoadDependency := common.srcLoadDependencyNext(srcIdx) 362aa2bcc31SzhanglyGit } 3634c2a845dSsinsanction 3644c2a845dSsinsanction if (params.needReadRegCache) { 3654c2a845dSsinsanction val wakeupSrcExuWriteRC = wakeupByIQOH.zip(commonIn.wakeUpFromIQ).filter(_._2.bits.params.needWriteRegCache) 366de4e991cSsinsanction val wakeupRC = wakeupSrcExuWriteRC.map(_._1).fold(false.B)(_ || _) && SrcType.isXp(srcStatus.srcType) 3674c2a845dSsinsanction val wakeupRCIdx = Mux1H(wakeupSrcExuWriteRC.map(_._1), wakeupSrcExuWriteRC.map(_._2.bits.rcDest.get)) 3684c2a845dSsinsanction val replaceRC = wakeupSrcExuWriteRC.map(x => x._2.bits.rfWen && x._2.bits.rcDest.get === srcStatus.regCacheIdx.get).fold(false.B)(_ || _) 3694c2a845dSsinsanction 370e311c278Ssinsanction srcStatusNext.useRegCache.get := srcStatus.useRegCache.get && !(srcLoadCancel || replaceRC) || wakeupRC 3714c2a845dSsinsanction srcStatusNext.regCacheIdx.get := Mux(wakeupRC, wakeupRCIdx, srcStatus.regCacheIdx.get) 3724c2a845dSsinsanction } 373aa2bcc31SzhanglyGit } 374397c0f33Ssinsanction entryUpdate.status.blocked := false.B 375397c0f33Ssinsanction entryUpdate.status.issued := MuxCase(status.issued, Seq( 376e311c278Ssinsanction (commonIn.deqSel && !cancelBypassVec.asUInt.orR) -> true.B, 377e311c278Ssinsanction (srcCancelByLoad || respIssueFail) -> false.B, 378aa2bcc31SzhanglyGit )) 379397c0f33Ssinsanction entryUpdate.status.firstIssue := commonIn.deqSel || status.firstIssue 380dd40a82bSsinsanction entryUpdate.status.issueTimer := Mux(commonIn.deqSel, 0.U, Mux(status.issued, Mux(status.issueTimer === "b11".U, status.issueTimer, status.issueTimer + 1.U), "b11".U)) 381397c0f33Ssinsanction entryUpdate.status.deqPortIdx := Mux(commonIn.deqSel, commonIn.deqPortIdxWrite, Mux(status.issued, status.deqPortIdx, 0.U)) 382397c0f33Ssinsanction entryUpdate.imm.foreach(_ := entryReg.imm.get) 383397c0f33Ssinsanction entryUpdate.payload := entryReg.payload 384397c0f33Ssinsanction if (params.isVecMemIQ) { 385397c0f33Ssinsanction entryUpdate.status.vecMem.get := entryReg.status.vecMem.get 386397c0f33Ssinsanction } 387aa2bcc31SzhanglyGit } 388aa2bcc31SzhanglyGit 389df26db8aSsinsanction def CommonOutConnect(commonOut: CommonOutBundle, common: CommonWireBundle, hasIQWakeup: Option[CommonIQWakeupBundle], validReg: Bool, entryUpdate: EntryBundle, entryReg: EntryBundle, status: Status, commonIn: CommonInBundle, isEnq: Boolean, isComp: Boolean)(implicit p: Parameters, params: IssueBlockParams) = { 390aa2bcc31SzhanglyGit val hasIQWakeupGet = hasIQWakeup.getOrElse(0.U.asTypeOf(new CommonIQWakeupBundle)) 391aa2bcc31SzhanglyGit commonOut.valid := validReg 392c0beb497Sxiaofeibao commonOut.issued := entryReg.status.issued 393df26db8aSsinsanction commonOut.canIssue := (if (isComp) (common.canIssue || hasIQWakeupGet.canIssueBypass) && !common.flushed 394df26db8aSsinsanction else common.canIssue && !common.flushed) 395aa2bcc31SzhanglyGit commonOut.fuType := IQFuType.readFuType(status.fuType, params.getFuCfgs.map(_.fuType)).asUInt 396aa2bcc31SzhanglyGit commonOut.robIdx := status.robIdx 397f57d73d6Ssinsanction commonOut.dataSources.zipWithIndex.foreach{ case (dataSourceOut, srcIdx) => 398de111a36Ssinsanction val wakeupByIQWithoutCancel = hasIQWakeupGet.srcWakeupByIQWithoutCancel(srcIdx).asUInt.orR 399de111a36Ssinsanction val wakeupByIQWithoutCancelOH = hasIQWakeupGet.srcWakeupByIQWithoutCancel(srcIdx) 400de111a36Ssinsanction val isWakeupByMemIQ = wakeupByIQWithoutCancelOH.zip(commonIn.wakeUpFromIQ).filter(_._2.bits.params.isMemExeUnit).map(_._1).fold(false.B)(_ || _) 4014c2a845dSsinsanction val useRegCache = status.srcStatus(srcIdx).useRegCache.getOrElse(false.B) && status.srcStatus(srcIdx).dataSources.readReg 402ec49b127Ssinsanction dataSourceOut.value := (if (isComp) 403ec49b127Ssinsanction if (params.inVfSchd && params.readVfRf && params.hasWakeupFromMem) { 404ec49b127Ssinsanction MuxCase(status.srcStatus(srcIdx).dataSources.value, Seq( 405ec49b127Ssinsanction (wakeupByIQWithoutCancel && !isWakeupByMemIQ) -> DataSource.forward, 406ec49b127Ssinsanction (wakeupByIQWithoutCancel && isWakeupByMemIQ) -> DataSource.bypass, 407ec49b127Ssinsanction )) 408ec49b127Ssinsanction } else { 409ec49b127Ssinsanction MuxCase(status.srcStatus(srcIdx).dataSources.value, Seq( 410ec49b127Ssinsanction wakeupByIQWithoutCancel -> DataSource.forward, 4114c2a845dSsinsanction useRegCache -> DataSource.regcache, 412ec49b127Ssinsanction )) 413ec49b127Ssinsanction } 4144c2a845dSsinsanction else { 4154c2a845dSsinsanction MuxCase(status.srcStatus(srcIdx).dataSources.value, Seq( 4164c2a845dSsinsanction useRegCache -> DataSource.regcache, 4174c2a845dSsinsanction )) 4184c2a845dSsinsanction }) 419aa2bcc31SzhanglyGit } 420aa2bcc31SzhanglyGit commonOut.isFirstIssue := !status.firstIssue 421aa2bcc31SzhanglyGit commonOut.entry.valid := validReg 422aa2bcc31SzhanglyGit commonOut.entry.bits := entryReg 423aa2bcc31SzhanglyGit if(isEnq) { 424aa2bcc31SzhanglyGit commonOut.entry.bits.status := status 425aa2bcc31SzhanglyGit } 426aa2bcc31SzhanglyGit commonOut.issueTimerRead := status.issueTimer 427aa2bcc31SzhanglyGit commonOut.deqPortIdxRead := status.deqPortIdx 428ec49b127Ssinsanction 429ec49b127Ssinsanction if(params.hasIQWakeUp) { 430f57d73d6Ssinsanction commonOut.exuSources.get.zipWithIndex.foreach{ case (exuSourceOut, srcIdx) => 431ec49b127Ssinsanction val wakeupByIQWithoutCancelOH = hasIQWakeupGet.srcWakeupByIQWithoutCancel(srcIdx) 432ec49b127Ssinsanction if (isComp) 433f57d73d6Ssinsanction exuSourceOut.value := Mux(wakeupByIQWithoutCancelOH.asUInt.orR, 434f57d73d6Ssinsanction ExuSource().fromExuOH(params, Mux1H(wakeupByIQWithoutCancelOH, params.wakeUpSourceExuIdx.map(x => MathUtils.IntToOH(x).U(p(XSCoreParamsKey).backendParams.numExu.W)))), 435f57d73d6Ssinsanction status.srcStatus(srcIdx).exuSources.get.value) 436ec49b127Ssinsanction else 437f57d73d6Ssinsanction exuSourceOut.value := status.srcStatus(srcIdx).exuSources.get.value 438ec49b127Ssinsanction } 439ec49b127Ssinsanction } 440ec49b127Ssinsanction 441ec49b127Ssinsanction val srcLoadDependencyOut = Wire(chiselTypeOf(common.srcLoadDependencyNext)) 442aa2bcc31SzhanglyGit if(params.hasIQWakeUp) { 443ec49b127Ssinsanction val wakeupSrcLoadDependencyNext = hasIQWakeupGet.srcWakeupByIQWithoutCancel.map(x => Mux1H(x, hasIQWakeupGet.shiftedWakeupLoadDependencyByIQVec)) 444ec49b127Ssinsanction srcLoadDependencyOut.zipWithIndex.foreach { case (ldOut, srcIdx) => 445ec49b127Ssinsanction ldOut := (if (isComp) Mux(hasIQWakeupGet.srcWakeupByIQWithoutCancel(srcIdx).asUInt.orR, 446ec49b127Ssinsanction wakeupSrcLoadDependencyNext(srcIdx), 447ec49b127Ssinsanction common.srcLoadDependencyNext(srcIdx)) 448ec49b127Ssinsanction else common.srcLoadDependencyNext(srcIdx)) 449ec49b127Ssinsanction } 450eea4a3caSzhanglyGit } else { 451ec49b127Ssinsanction srcLoadDependencyOut := common.srcLoadDependencyNext 452eea4a3caSzhanglyGit } 453e311c278Ssinsanction commonOut.cancelBypass := VecInit(hasIQWakeupGet.srcWakeupByIQWithoutCancel.zipWithIndex.map{ case (wakeupVec, srcIdx) => 454e311c278Ssinsanction if (isComp) Mux(wakeupVec.asUInt.orR, common.srcLoadTransCancelVec(srcIdx), common.srcLoadCancelVec(srcIdx)) 455e311c278Ssinsanction else common.srcLoadCancelVec(srcIdx) 456e311c278Ssinsanction }).asUInt.orR 457ec49b127Ssinsanction commonOut.entry.bits.status.srcStatus.map(_.srcLoadDependency).zipWithIndex.foreach { case (ldOut, srcIdx) => 458ec49b127Ssinsanction ldOut := srcLoadDependencyOut(srcIdx) 459eea4a3caSzhanglyGit } 460ec49b127Ssinsanction 461397c0f33Ssinsanction commonOut.enqReady := common.enqReady 462c0beb497Sxiaofeibao commonOut.transEntry.valid := validReg && !common.flushed && !status.issued 463397c0f33Ssinsanction commonOut.transEntry.bits := entryUpdate 464a6938b17Ssinsanction // debug 465a6938b17Ssinsanction commonOut.entryInValid := commonIn.enq.valid 466a6938b17Ssinsanction commonOut.entryOutDeqValid := validReg && (common.flushed || common.deqSuccess) 467a6938b17Ssinsanction commonOut.entryOutTransValid := validReg && commonIn.transSel && !(common.flushed || common.deqSuccess) 468e3ef3537Ssinsanction commonOut.perfWakeupByWB := common.srcWakeupByWB.zip(status.srcStatus).map{ case (w, s) => w && SrcState.isBusy(s.srcState) && validReg } 469e3ef3537Ssinsanction if (params.hasIQWakeUp) { 470ec49b127Ssinsanction commonOut.perfLdCancel.get := common.srcCancelVec.map(_ && validReg) 471e3ef3537Ssinsanction commonOut.perfOg0Cancel.get := hasIQWakeupGet.srcWakeupByIQButCancel.map(_.asUInt.orR && validReg) 472e3ef3537Ssinsanction commonOut.perfWakeupByIQ.get := hasIQWakeupGet.srcWakeupByIQ.map(x => VecInit(x.map(_ && validReg))) 473e3ef3537Ssinsanction } 474e3ef3537Ssinsanction // vecMem 475aa2bcc31SzhanglyGit if (params.isVecMemIQ) { 47699944b79Ssinsanction commonOut.uopIdx.get := entryReg.payload.uopIdx 477aa2bcc31SzhanglyGit } 478aa2bcc31SzhanglyGit } 479aa2bcc31SzhanglyGit 480e07131b2Ssinsanction def EntryVecMemConnect(commonIn: CommonInBundle, common: CommonWireBundle, validReg: Bool, entryReg: EntryBundle, entryRegNext: EntryBundle, entryUpdate: EntryBundle)(implicit p: Parameters, params: IssueBlockParams) = { 48199944b79Ssinsanction val fromLsq = commonIn.fromLsq.get 48299944b79Ssinsanction val vecMemStatus = entryReg.status.vecMem.get 48399944b79Ssinsanction val vecMemStatusUpdate = entryUpdate.status.vecMem.get 48499944b79Ssinsanction vecMemStatusUpdate := vecMemStatus 48599944b79Ssinsanction 486b0480352SZiyue Zhang val isFirstLoad = entryReg.status.vecMem.get.lqIdx === fromLsq.lqDeqPtr 487b0480352SZiyue Zhang 488b0480352SZiyue Zhang val isVleff = entryReg.payload.vpu.isVleff 489e07131b2Ssinsanction // update blocked 490b0480352SZiyue Zhang entryUpdate.status.blocked := !isFirstLoad && isVleff 49199944b79Ssinsanction } 49299944b79Ssinsanction 493aa2bcc31SzhanglyGit object IQFuType { 494aa2bcc31SzhanglyGit def num = FuType.num 495aa2bcc31SzhanglyGit 496aa2bcc31SzhanglyGit def apply() = Vec(num, Bool()) 497aa2bcc31SzhanglyGit 498aa2bcc31SzhanglyGit def readFuType(fuType: Vec[Bool], fus: Seq[FuType.OHType]): Vec[Bool] = { 499ae0295f4STang Haojin val res = WireDefault(0.U.asTypeOf(fuType)) 500aa2bcc31SzhanglyGit fus.foreach(x => res(x.id) := fuType(x.id)) 501aa2bcc31SzhanglyGit res 502aa2bcc31SzhanglyGit } 503aa2bcc31SzhanglyGit } 5044fa640e4Ssinsanction 5054fa640e4Ssinsanction class EnqDelayInBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 5064fa640e4Ssinsanction //wakeup 5074fa640e4Ssinsanction val wakeUpFromWB: MixedVec[ValidIO[IssueQueueWBWakeUpBundle]] = Flipped(params.genWBWakeUpSinkValidBundle) 5084fa640e4Ssinsanction val wakeUpFromIQ: MixedVec[ValidIO[IssueQueueIQWakeUpBundle]] = Flipped(params.genIQWakeUpSinkValidBundle) 5094fa640e4Ssinsanction //cancel 51091f31488Sxiaofeibao-xjtu val srcLoadDependency = Input(Vec(params.numRegSrc, Vec(LoadPipelineWidth, UInt(LoadDependencyWidth.W)))) 511be9ff987Ssinsanction val og0Cancel = Input(ExuVec()) 5124fa640e4Ssinsanction val ldCancel = Vec(backendParams.LdExuCnt, Flipped(new LoadCancelIO)) 5134fa640e4Ssinsanction } 5144fa640e4Ssinsanction 5154fa640e4Ssinsanction class EnqDelayOutBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 5164fa640e4Ssinsanction val srcWakeUpByWB: Vec[UInt] = Vec(params.numRegSrc, SrcState()) 5174fa640e4Ssinsanction val srcWakeUpByIQ: Vec[UInt] = Vec(params.numRegSrc, SrcState()) 5184fa640e4Ssinsanction val srcWakeUpByIQVec: Vec[Vec[Bool]] = Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool())) 51991f31488Sxiaofeibao-xjtu val srcCancelByLoad: Vec[Bool] = Vec(params.numRegSrc, Bool()) 520ec49b127Ssinsanction val shiftedWakeupLoadDependencyByIQVec: Vec[Vec[UInt]] = Vec(params.numWakeupFromIQ, Vec(LoadPipelineWidth, UInt(LoadDependencyWidth.W))) 5214fa640e4Ssinsanction } 5224fa640e4Ssinsanction 5234fa640e4Ssinsanction def EnqDelayWakeupConnect(enqDelayIn: EnqDelayInBundle, enqDelayOut: EnqDelayOutBundle, status: Status, delay: Int)(implicit p: Parameters, params: IssueBlockParams) = { 5244fa640e4Ssinsanction enqDelayOut.srcWakeUpByWB.zipWithIndex.foreach { case (wakeup, i) => 5258dd32220Ssinsanction wakeup := enqDelayIn.wakeUpFromWB.map{ x => 5268dd32220Ssinsanction if (i == 3) 5278dd32220Ssinsanction x.bits.wakeUpV0((status.srcStatus(i).psrc, status.srcStatus(i).srcType), x.valid) 5288dd32220Ssinsanction else if (i == 4) 5298dd32220Ssinsanction x.bits.wakeUpVl((status.srcStatus(i).psrc, status.srcStatus(i).srcType), x.valid) 5308dd32220Ssinsanction else 5318dd32220Ssinsanction x.bits.wakeUp(Seq((status.srcStatus(i).psrc, status.srcStatus(i).srcType)), x.valid).head 5328dd32220Ssinsanction }.reduce(_ || _) 5334fa640e4Ssinsanction } 5344fa640e4Ssinsanction 5354fa640e4Ssinsanction if (params.hasIQWakeUp) { 5368dd32220Ssinsanction val wakeupVec: IndexedSeq[IndexedSeq[Bool]] = enqDelayIn.wakeUpFromIQ.map{ x => 5378dd32220Ssinsanction val psrcSrcTypeVec = status.srcStatus.map(_.psrc) zip status.srcStatus.map(_.srcType) 5388dd32220Ssinsanction if (params.numRegSrc == 5) { 5398dd32220Ssinsanction x.bits.wakeUpFromIQ(psrcSrcTypeVec.take(3)) :+ 5408dd32220Ssinsanction x.bits.wakeUpV0FromIQ(psrcSrcTypeVec(3)) :+ 5418dd32220Ssinsanction x.bits.wakeUpVlFromIQ(psrcSrcTypeVec(4)) 5428dd32220Ssinsanction } 5438dd32220Ssinsanction else 5448dd32220Ssinsanction x.bits.wakeUpFromIQ(psrcSrcTypeVec) 5458dd32220Ssinsanction }.toIndexedSeq.transpose 5464fa640e4Ssinsanction val cancelSel = params.wakeUpSourceExuIdx.zip(enqDelayIn.wakeUpFromIQ).map{ case (x, y) => enqDelayIn.og0Cancel(x) && y.bits.is0Lat} 5474fa640e4Ssinsanction enqDelayOut.srcWakeUpByIQVec := wakeupVec.map(x => VecInit(x.zip(cancelSel).map { case (wakeup, cancel) => wakeup && !cancel })) 5484fa640e4Ssinsanction } else { 5494fa640e4Ssinsanction enqDelayOut.srcWakeUpByIQVec := 0.U.asTypeOf(enqDelayOut.srcWakeUpByIQVec) 5504fa640e4Ssinsanction } 5514fa640e4Ssinsanction 5524fa640e4Ssinsanction if (params.hasIQWakeUp) { 5534fa640e4Ssinsanction enqDelayOut.srcWakeUpByIQ.zipWithIndex.foreach { case (wakeup, i) => 5544fa640e4Ssinsanction val ldTransCancel = Mux1H(enqDelayOut.srcWakeUpByIQVec(i), enqDelayIn.wakeUpFromIQ.map(_.bits.loadDependency).map(dp => LoadShouldCancel(Some(dp), enqDelayIn.ldCancel)).toSeq) 5554fa640e4Ssinsanction wakeup := enqDelayOut.srcWakeUpByIQVec(i).asUInt.orR && !ldTransCancel 5564fa640e4Ssinsanction } 55791f31488Sxiaofeibao-xjtu enqDelayOut.srcCancelByLoad.zipWithIndex.foreach { case (ldCancel, i) => 55891f31488Sxiaofeibao-xjtu ldCancel := LoadShouldCancel(Some(enqDelayIn.srcLoadDependency(i)), enqDelayIn.ldCancel) 55991f31488Sxiaofeibao-xjtu } 5604fa640e4Ssinsanction } else { 5614fa640e4Ssinsanction enqDelayOut.srcWakeUpByIQ := 0.U.asTypeOf(enqDelayOut.srcWakeUpByIQ) 56291f31488Sxiaofeibao-xjtu enqDelayOut.srcCancelByLoad := 0.U.asTypeOf(enqDelayOut.srcCancelByLoad) 5634fa640e4Ssinsanction } 5644fa640e4Ssinsanction 5654fa640e4Ssinsanction enqDelayOut.shiftedWakeupLoadDependencyByIQVec.zip(enqDelayIn.wakeUpFromIQ.map(_.bits.loadDependency)) 5664fa640e4Ssinsanction .zip(params.wakeUpInExuSources.map(_.name)).foreach { case ((dps, ldps), name) => 5674fa640e4Ssinsanction dps.zip(ldps).zipWithIndex.foreach { case ((dp, ldp), deqPortIdx) => 5684fa640e4Ssinsanction if (params.backendParam.getLdExuIdx(params.backendParam.allExuParams.find(_.name == name).get) == deqPortIdx) 569ec49b127Ssinsanction dp := 1.U << (delay - 1) 5704fa640e4Ssinsanction else 5714fa640e4Ssinsanction dp := ldp << delay 5724fa640e4Ssinsanction } 5734fa640e4Ssinsanction } 5744fa640e4Ssinsanction } 575aa2bcc31SzhanglyGit} 576