1package xiangshan.backend.fu.wrapper 2 3import org.chipsalliance.cde.config.Parameters 4import chisel3._ 5import chisel3.util._ 6import chisel3.util.experimental.decode._ 7import utility.XSError 8import xiangshan.backend.fu.FuConfig 9import xiangshan.backend.fu.vector.{Mgu, VecPipedFuncUnit} 10import xiangshan.ExceptionNO 11import yunsuan.VfpuType 12import yunsuan.vector.VectorConvert.VectorCvt 13import yunsuan.util._ 14 15 16class VCVT(cfg: FuConfig)(implicit p: Parameters) extends VecPipedFuncUnit(cfg) { 17 XSError(io.in.valid && io.in.bits.ctrl.fuOpType === VfpuType.dummy, "Vfcvt OpType not supported") 18 19 // params alias 20 private val dataWidth = cfg.destDataBits 21 private val dataWidthOfDataModule = 64 22 private val numVecModule = dataWidth / dataWidthOfDataModule 23 24 // io alias 25 private val opcode = fuOpType(8, 0) 26 private val sew = vsew 27 28 private val isRtz = opcode(2) & opcode(1) 29 private val isRod = opcode(2) & !opcode(1) & opcode(0) 30 private val isFrm = !isRtz && !isRod 31 private val vfcvtRm = Mux1H( 32 Seq(isRtz, isRod, isFrm), 33 Seq(1.U, 6.U, rm) 34 ) 35 36 private val lmul = vlmul // -3->3 => 1/8 ->8 37 38 val widen = opcode(4, 3) // 0->single 1->widen 2->norrow => width of result 39 val isSingleCvt = !widen(1) & !widen(0) 40 val isWidenCvt = !widen(1) & widen(0) 41 val isNarrowCvt = widen(1) & !widen(0) 42 val fire = io.in.valid 43 val fireReg = GatedValidRegNext(fire) 44 45 // output width 8, 16, 32, 64 46 val output1H = Wire(UInt(4.W)) 47 output1H := chisel3.util.experimental.decode.decoder( 48 widen ## sew, 49 TruthTable( 50 Seq( 51 BitPat("b00_01") -> BitPat("b0010"), // 16 52 BitPat("b00_10") -> BitPat("b0100"), // 32 53 BitPat("b00_11") -> BitPat("b1000"), // 64 54 55 BitPat("b01_00") -> BitPat("b0010"), // 16 56 BitPat("b01_01") -> BitPat("b0100"), // 32 57 BitPat("b01_10") -> BitPat("b1000"), // 64 58 59 BitPat("b10_00") -> BitPat("b0001"), // 8 60 BitPat("b10_01") -> BitPat("b0010"), // 16 61 BitPat("b10_10") -> BitPat("b0100"), // 32 62 ), 63 BitPat.N(4) 64 ) 65 ) 66 if(backendParams.debugEn) { 67 dontTouch(output1H) 68 } 69 val outputWidth1H = output1H 70 val outIs32bits = RegNext(RegNext(outputWidth1H(2))) 71 val outIsInt = !outCtrl.fuOpType(6) 72 val outIsMvInst = outCtrl.fuOpType(8) 73 74 val outEew = RegEnable(RegEnable(Mux1H(output1H, Seq(0,1,2,3).map(i => i.U)), fire), fireReg) 75 private val needNoMask = outVecCtrl.fpu.isFpToVecInst 76 val maskToMgu = Mux(needNoMask, allMaskTrue, outSrcMask) 77 78 // modules 79 private val vfcvt = Module(new VectorCvtTop(dataWidth, dataWidthOfDataModule)) 80 private val mgu = Module(new Mgu(dataWidth)) 81 82 val vs2Vec = Wire(Vec(numVecModule, UInt(dataWidthOfDataModule.W))) 83 vs2Vec := vs2.asTypeOf(vs2Vec) 84 85 /** 86 * [[vfcvt]]'s in connection 87 */ 88 vfcvt.uopIdx := vuopIdx(0) 89 vfcvt.src := vs2Vec 90 vfcvt.opType := opcode(7,0) 91 vfcvt.sew := sew 92 vfcvt.rm := vfcvtRm 93 vfcvt.outputWidth1H := outputWidth1H 94 vfcvt.isWiden := isWidenCvt 95 vfcvt.isNarrow := isNarrowCvt 96 vfcvt.fire := fire 97 vfcvt.isFpToVecInst := vecCtrl.fpu.isFpToVecInst 98 val vfcvtResult = vfcvt.io.result 99 val vfcvtFflags = vfcvt.io.fflags 100 101 /** fflags: 102 */ 103 val eNum1H = chisel3.util.experimental.decode.decoder(sew ## (isWidenCvt || isNarrowCvt), 104 TruthTable( 105 Seq( // 8, 4, 2, 1 106 BitPat("b001") -> BitPat("b1000"), //8 107 BitPat("b010") -> BitPat("b1000"), //8 108 BitPat("b011") -> BitPat("b0100"), //4 109 BitPat("b100") -> BitPat("b0100"), //4 110 BitPat("b101") -> BitPat("b0010"), //2 111 BitPat("b110") -> BitPat("b0010"), //2 112 ), 113 BitPat.N(4) 114 ) 115 ) 116 val eNumMax1H = Mux(lmul.head(1).asBool, eNum1H >> ((~lmul.tail(1)).asUInt +1.U), eNum1H << lmul.tail(1)).asUInt(6, 0) 117 val eNumMax = Mux1H(eNumMax1H, Seq(1,2,4,8,16,32,64).map(i => i.U)) //only for cvt intr, don't exist 128 in cvt 118 val vlForFflags = Mux(vecCtrl.fpu.isFpToVecInst, 1.U, vl) 119 val eNumEffectIdx = Mux(vlForFflags > eNumMax, eNumMax, vlForFflags) 120 121 val eNum = Mux1H(eNum1H, Seq(1, 2, 4, 8).map(num =>num.U)) 122 val eStart = vuopIdx * eNum 123 val maskForFflags = Mux(vecCtrl.fpu.isFpToVecInst, allMaskTrue, srcMask) 124 val maskPart = maskForFflags >> eStart 125 val mask = Mux1H(eNum1H, Seq(1, 2, 4, 8).map(num => maskPart(num-1, 0))) 126 val fflagsEn = Wire(Vec(4 * numVecModule, Bool())) 127 128 fflagsEn := mask.asBools.zipWithIndex.map{case(mask, i) => mask & (eNumEffectIdx > eStart + i.U) } 129 130 val fflagsEnCycle2 = RegEnable(RegEnable(fflagsEn, fire), fireReg) 131 val fflagsAll = Wire(Vec(8, UInt(5.W))) 132 fflagsAll := vfcvtFflags.asTypeOf(fflagsAll) 133 val fflags = fflagsEnCycle2.zip(fflagsAll).map{case(en, fflag) => Mux(en, fflag, 0.U(5.W))}.reduce(_ | _) 134 io.out.bits.res.fflags.get := Mux(outIsMvInst, 0.U, fflags) 135 136 137 /** 138 * [[mgu]]'s in connection 139 */ 140 val resultDataUInt = Wire(UInt(dataWidth.W)) 141 resultDataUInt := vfcvtResult 142 143 private val narrow = RegEnable(RegEnable(isNarrowCvt, fire), fireReg) 144 private val narrowNeedCat = outVecCtrl.vuopIdx(0).asBool && narrow 145 private val outNarrowVd = Mux(narrowNeedCat, Cat(resultDataUInt(dataWidth / 2 - 1, 0), outOldVd(dataWidth / 2 - 1, 0)), 146 Cat(outOldVd(dataWidth - 1, dataWidth / 2), resultDataUInt(dataWidth / 2 - 1, 0))) 147 148 mgu.io.in.vd := resultDataUInt 149 mgu.io.in.vd := Mux(narrow, outNarrowVd, resultDataUInt) 150 mgu.io.in.oldVd := outOldVd 151 mgu.io.in.mask := maskToMgu 152 mgu.io.in.info.ta := outVecCtrl.vta 153 mgu.io.in.info.ma := outVecCtrl.vma 154 mgu.io.in.info.vl := Mux(outVecCtrl.fpu.isFpToVecInst, 1.U, outVl) 155 mgu.io.in.info.vlmul := outVecCtrl.vlmul 156 mgu.io.in.info.valid := io.out.valid 157 mgu.io.in.info.vstart := Mux(outVecCtrl.fpu.isFpToVecInst, 0.U, outVecCtrl.vstart) 158 mgu.io.in.info.eew := outEew 159 mgu.io.in.info.vsew := outVecCtrl.vsew 160 mgu.io.in.info.vdIdx := outVecCtrl.vuopIdx 161 mgu.io.in.info.narrow := narrow 162 mgu.io.in.info.dstMask := outVecCtrl.isDstMask 163 mgu.io.in.isIndexedVls := false.B 164 165 // for scalar f2i cvt inst 166 val isFp2VecForInt = outVecCtrl.fpu.isFpToVecInst && outIs32bits && outIsInt 167 // for f2i mv inst 168 val result = Mux(outIsMvInst, RegNext(RegNext(vs2.tail(64))), mgu.io.out.vd) 169 170 io.out.bits.res.data := Mux(isFp2VecForInt, 171 Fill(32, result(31)) ## result(31, 0), 172 result 173 ) 174 io.out.bits.ctrl.exceptionVec.get(ExceptionNO.illegalInstr) := mgu.io.out.illegal 175} 176 177class VectorCvtTopIO(vlen: Int, xlen: Int) extends Bundle{ 178 val fire = Input(Bool()) 179 val uopIdx = Input(Bool()) 180 val src = Input(Vec(vlen / xlen, UInt(xlen.W))) 181 val opType = Input(UInt(8.W)) 182 val sew = Input(UInt(2.W)) 183 val rm = Input(UInt(3.W)) 184 val outputWidth1H = Input(UInt(4.W)) 185 val isWiden = Input(Bool()) 186 val isNarrow = Input(Bool()) 187 val isFpToVecInst = Input(Bool()) 188 189 val result = Output(UInt(vlen.W)) 190 val fflags = Output(UInt((vlen/16*5).W)) 191} 192 193 194 195//according to uopindex, 1: high64 0:low64 196class VectorCvtTop(vlen: Int, xlen: Int) extends Module{ 197 val io = IO(new VectorCvtTopIO(vlen, xlen)) 198 199 val (fire, uopIdx, src, opType, sew, rm, outputWidth1H, isWiden, isNarrow, isFpToVecInst) = ( 200 io.fire, io.uopIdx, io.src, io.opType, io.sew, io.rm, io.outputWidth1H, io.isWiden, io.isNarrow, io.isFpToVecInst 201 ) 202 val fireReg = GatedValidRegNext(fire) 203 204 val in0 = Mux(isWiden && !isFpToVecInst, 205 Mux(uopIdx, src(1).tail(32), src(0).tail(32)), 206 src(0) 207 ) 208 209 val in1 = Mux(isWiden, 210 Mux(uopIdx, src(1).head(32), src(0).head(32)), 211 src(1) 212 ) 213 214 val vectorCvt0 = Module(new VectorCvt(xlen)) 215 vectorCvt0.fire := fire 216 vectorCvt0.src := in0 217 vectorCvt0.opType := opType 218 vectorCvt0.sew := sew 219 vectorCvt0.rm := rm 220 vectorCvt0.isFpToVecInst := isFpToVecInst 221 222 val vectorCvt1 = Module(new VectorCvt(xlen)) 223 vectorCvt1.fire := fire 224 vectorCvt1.src := in1 225 vectorCvt1.opType := opType 226 vectorCvt1.sew := sew 227 vectorCvt1.rm := rm 228 vectorCvt1.isFpToVecInst := isFpToVecInst 229 230 val isNarrowCycle2 = RegEnable(RegEnable(isNarrow, fire), fireReg) 231 val outputWidth1HCycle2 = RegEnable(RegEnable(outputWidth1H, fire), fireReg) 232 233 //cycle2 234 io.result := Mux(isNarrowCycle2, 235 vectorCvt1.io.result.tail(32) ## vectorCvt0.io.result.tail(32), 236 vectorCvt1.io.result ## vectorCvt0.io.result) 237 238 io.fflags := Mux1H(outputWidth1HCycle2, Seq( 239 vectorCvt1.io.fflags ## vectorCvt0.io.fflags, 240 Mux(isNarrowCycle2, vectorCvt1.io.fflags.tail(10) ## vectorCvt0.io.fflags.tail(10), vectorCvt1.io.fflags ## vectorCvt0.io.fflags), 241 Mux(isNarrowCycle2, vectorCvt1.io.fflags(4,0) ## vectorCvt0.io.fflags(4,0), vectorCvt1.io.fflags.tail(10) ## vectorCvt0.io.fflags.tail(10)), 242 vectorCvt1.io.fflags(4,0) ## vectorCvt0.io.fflags(4,0) 243 )) 244} 245 246 247