1package xiangshan.backend.fu.NewCSR 2 3import chisel3._ 4import chisel3.util._ 5import chisel3.util.experimental.decode.TruthTable 6import xiangshan.backend.fu.NewCSR.CSRBundles.{Counteren, PrivState} 7import freechips.rocketchip.rocket.CSRs 8 9class CSRPermitModule extends Module { 10 val io = IO(new CSRPermitIO) 11 12 private val (ren, wen, addr, privState, debugMode) = ( 13 io.in.csrAccess.ren, 14 io.in.csrAccess.wen, 15 io.in.csrAccess.addr, 16 io.in.privState, 17 io.in.debugMode 18 ) 19 20 private val csrAccess = WireInit(ren || wen) 21 22 private val (mret, sret) = ( 23 io.in.mret, 24 io.in.sret, 25 ) 26 27 private val (tsr, vtsr) = ( 28 io.in.status.tsr, 29 io.in.status.vtsr, 30 ) 31 32 private val (tw, vtw) = ( 33 io.in.status.tw, 34 io.in.status.vtw 35 ) 36 37 private val (tvm, vtvm) = ( 38 io.in.status.tvm, 39 io.in.status.vtvm, 40 ) 41 42 private val csrIsCustom = io.in.csrIsCustom 43 44 private val (mcounteren, hcounteren, scounteren) = ( 45 io.in.status.mcounteren, 46 io.in.status.hcounteren, 47 io.in.status.scounteren, 48 ) 49 50 private val (mcounterenTM, hcounterenTM) = ( 51 mcounteren(1), 52 hcounteren(1), 53 ) 54 55 private val (menvcfg, henvcfg) = ( 56 io.in.status.menvcfg, 57 io.in.status.henvcfg, 58 ) 59 60 private val (menvcfgSTCE, henvcfgSTCE) = ( 61 menvcfg(63), 62 henvcfg(63), 63 ) 64 65 private val csrIsRO = addr(11, 10) === "b11".U 66 private val csrIsUnpriv = addr(9, 8) === "b00".U 67 private val csrIsHPM = addr >= CSRs.cycle.U && addr <= CSRs.hpmcounter31.U 68 private val counterAddr = addr(4, 0) // 32 counters 69 70 private val accessTable = TruthTable(Seq( 71 // V PRVM ADDR 72 BitPat("b0__00___00") -> BitPat.Y(), // HU access U 73 BitPat("b1__00___00") -> BitPat.Y(), // VU access U 74 BitPat("b0__01___00") -> BitPat.Y(), // HS access U 75 BitPat("b0__01___01") -> BitPat.Y(), // HS access S 76 BitPat("b0__01___10") -> BitPat.Y(), // HS access H 77 BitPat("b1__01___00") -> BitPat.Y(), // VS access U 78 BitPat("b1__01___01") -> BitPat.Y(), // VS access S 79 BitPat("b0__11___00") -> BitPat.Y(), // M access HU 80 BitPat("b0__11___01") -> BitPat.Y(), // M access HS 81 BitPat("b0__11___10") -> BitPat.Y(), // M access H 82 BitPat("b0__11___11") -> BitPat.Y(), // M access M 83 ), BitPat.N()) 84 85 private val isDebugReg = addr(11, 4) === "h7b".U 86 private val isTriggerReg = addr(11, 4) === "h7a".U 87 88 private val regularPrivilegeLegal = chisel3.util.experimental.decode.decoder( 89 privState.V.asUInt ## privState.PRVM.asUInt ## addr(9, 8), 90 accessTable 91 ).asBool 92 93 private val privilegeLegal = MuxCase( 94 regularPrivilegeLegal, 95 Seq( 96 isDebugReg -> debugMode, 97 isTriggerReg -> (debugMode || privState.isModeM), 98 ) 99 ) 100 101 private val rwIllegal = csrIsRO && wen 102 103 private val csrAccessIllegal = (!privilegeLegal || rwIllegal) 104 105 private val mretIllegal = !privState.isModeM 106 107 private val sretIllegal = sret && ( 108 privState.isModeHS && tsr || privState.isModeVS && vtsr || privState.isModeHUorVU 109 ) 110 111 private val rwSatp_EX_II = csrAccess && privState.isModeHS && tvm && (addr === CSRs.satp.U || addr === CSRs.hgatp.U) 112 private val rwSatp_EX_VI = csrAccess && privState.isModeVS && vtvm && (addr === CSRs.satp.U) 113 114 private val rwCustom_EX_II = csrAccess && privState.isModeVS && csrIsCustom 115 116 private val accessHPM = ren && csrIsHPM 117 private val accessHPM_EX_II = accessHPM && ( 118 !privState.isModeM && !mcounteren(counterAddr) || 119 privState.isModeHU && !scounteren(counterAddr) 120 ) 121 private val accessHPM_EX_VI = accessHPM && mcounteren(counterAddr) && ( 122 privState.isModeVS && !hcounteren(counterAddr) || 123 privState.isModeVU && (!hcounteren(counterAddr) || !scounteren(counterAddr)) 124 ) 125 126 private val rwStimecmp_EX_II = csrAccess && ((privState.isModeHS && !mcounterenTM || !privState.isModeM && !menvcfgSTCE) && addr === CSRs.vstimecmp.U || 127 ((privState.isModeHS || privState.isModeVS) && !mcounterenTM || !privState.isModeM && !menvcfgSTCE) && addr === CSRs.stimecmp.U) 128 private val rwStimecmp_EX_VI = csrAccess && privState.isModeVS && (mcounterenTM && !hcounterenTM || menvcfgSTCE && !henvcfgSTCE) && addr === CSRs.stimecmp.U 129 130 io.out.illegal := csrAccess && csrAccessIllegal || mret && mretIllegal || sret && sretIllegal 131 132 // Todo: check correct 133 io.out.EX_II := io.out.illegal && !privState.isVirtual || rwSatp_EX_II || accessHPM_EX_II || rwStimecmp_EX_II || rwCustom_EX_II 134 io.out.EX_VI := io.out.illegal && privState.isVirtual || rwSatp_EX_VI || accessHPM_EX_VI || rwStimecmp_EX_VI 135 136 io.out.hasLegalWen := io.in.csrAccess.wen && !csrAccessIllegal 137 io.out.hasLegalMret := mret && !mretIllegal 138 io.out.hasLegalSret := sret && !sretIllegal 139 140 dontTouch(regularPrivilegeLegal) 141} 142 143class CSRPermitIO extends Bundle { 144 val in = Input(new Bundle { 145 val csrAccess = new Bundle { 146 val ren = Bool() 147 val wen = Bool() 148 val addr = UInt(12.W) 149 } 150 val privState = new PrivState 151 val debugMode = Bool() 152 val mret = Bool() 153 val sret = Bool() 154 val csrIsCustom = Bool() 155 val status = new Bundle { 156 // Trap SRET 157 val tsr = Bool() 158 // Virtual Trap SRET 159 val vtsr = Bool() 160 // Timeout Wait 161 val tw = Bool() 162 // Virtual Timeout Wait 163 val vtw = Bool() 164 // Trap Virtual Memory 165 val tvm = Bool() 166 // Virtual Trap Virtual Memory 167 val vtvm = Bool() 168 // Machine level counter enable, access PMC from the level less than M will trap EX_II 169 val mcounteren = UInt(32.W) 170 // Hypervisor level counter enable. 171 // Accessing PMC from VS/VU level will trap EX_VI, if m[x]=1 && h[x]=0 172 val hcounteren = UInt(32.W) 173 // Supervisor level counter enable. 174 // Accessing PMC from **HU level** will trap EX_II, if s[x]=0 175 // Accessing PMC from **VU level** will trap EX_VI, if m[x]=1 && h[x]=1 && s[x]=0 176 val scounteren = UInt(32.W) 177 // Machine environment configuration register. 178 // Accessing stimecmp or vstimecmp from **Non-M level** will trap EX_II, if menvcfg.STCE=0 179 val menvcfg = UInt(64.W) 180 // Hypervisor environment configuration register. 181 // Accessing vstimecmp from ** V level** will trap EX_VI, if menvcfg.STCE=1 && henvcfg.STCE=0 182 val henvcfg = UInt(64.W) 183 } 184 }) 185 186 val out = Output(new Bundle { 187 val hasLegalWen = Bool() 188 val hasLegalMret = Bool() 189 val hasLegalSret = Bool() 190 // Todo: split illegal into EX_II and EX_VI 191 val illegal = Bool() 192 val EX_II = Bool() 193 val EX_VI = Bool() 194 }) 195} 196