xref: /XiangShan/src/main/scala/xiangshan/backend/exu/ExeUnit.scala (revision 708ceed4afe43fb0ea3a52407e46b2794c573634)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.backend.exu
18
19
20import chipsalliance.rocketchip.config.Parameters
21import chisel3._
22import chisel3.experimental.hierarchy.{Definition, instantiable, public}
23import chisel3.util._
24import utils._
25import xiangshan._
26import xiangshan.backend.Std
27import xiangshan.backend.fu.fpu.{FMA, FPUSubModule}
28import xiangshan.backend.fu.{CSR, FUWithRedirect, Fence, FenceToSbuffer}
29
30class FenceIO(implicit p: Parameters) extends XSBundle {
31  val sfence = Output(new SfenceBundle)
32  val fencei = Output(Bool())
33  val sbuffer = new FenceToSbuffer
34}
35
36@instantiable
37class ExeUnit(config: ExuConfig)(implicit p: Parameters) extends Exu(config) {
38
39  val disableSfence = WireInit(false.B)
40  val csr_frm = WireInit(frm.getOrElse(0.U(3.W)))
41
42  val hasRedirect = config.fuConfigs.zip(functionUnits).filter(_._1.hasRedirect).map(_._2)
43  println(s"${functionUnits} ${hasRedirect} hasRedirect: ${hasRedirect.length}")
44  if (hasRedirect.nonEmpty) {
45    require(hasRedirect.length <= 1)
46    io.out.bits.redirectValid := hasRedirect.head.asInstanceOf[FUWithRedirect].redirectOutValid
47    io.out.bits.redirect := hasRedirect.head.asInstanceOf[FUWithRedirect].redirectOut
48  }
49
50  if (config.fuConfigs.contains(csrCfg)) {
51    val csr = functionUnits.collectFirst{
52      case c: CSR => c
53    }.get
54    csr.csrio <> csrio.get
55    disableSfence := csr.csrio.disableSfence
56    csr_frm := csr.csrio.fpu.frm
57  }
58
59  if (config.fuConfigs.contains(fenceCfg)) {
60    val fence = functionUnits.collectFirst{
61      case f: Fence => f
62    }.get
63    fenceio.get.sfence <> fence.sfence
64    fenceio.get.fencei <> fence.fencei
65    fenceio.get.sbuffer <> fence.toSbuffer
66    fence.io.out.ready := true.B
67    fence.disableSfence := disableSfence
68  }
69
70  val fpModules = functionUnits.zip(config.fuConfigs.zipWithIndex).filter(_._1.isInstanceOf[FPUSubModule])
71  if (fpModules.nonEmpty) {
72    // frm is from csr/frm (from CSR) or instr_rm (from instruction decoding)
73    val fpSubModules = fpModules.map(_._1.asInstanceOf[FPUSubModule])
74    fpSubModules.foreach(mod => {
75      val instr_rm = mod.io.in.bits.uop.ctrl.fpu.rm
76      mod.rm := Mux(instr_rm =/= 7.U, instr_rm, csr_frm)
77    })
78    // fflags is selected by arbSelReg
79    require(config.hasFastUopOut, "non-fast not implemented")
80    val fflagsSel = fpModules.map{ case (fu, (cfg, i)) =>
81      val fflagsValid = arbSelReg(i)
82      val fflags = fu.asInstanceOf[FPUSubModule].fflags
83      val fflagsBits = if (cfg.fastImplemented) fflags else RegNext(fflags)
84      (fflagsValid, fflagsBits)
85    }
86    io.out.bits.fflags := Mux1H(fflagsSel.map(_._1), fflagsSel.map(_._2))
87  }
88
89  val fmaModules = functionUnits.filter(_.isInstanceOf[FMA]).map(_.asInstanceOf[FMA])
90  if (fmaModules.nonEmpty) {
91    require(fmaModules.length == 1)
92    fmaModules.head.midResult <> fmaMid.get
93  }
94
95  if (config.fuConfigs.contains(stdCfg)) {
96    val std = functionUnits.collectFirst {
97      case s: Std => s
98    }.get
99    stData.get.valid := std.io.out.valid
100    stData.get.bits.uop := std.io.out.bits.uop
101    stData.get.bits.data := std.io.out.bits.data
102    io.out.valid := false.B
103    io.out.bits := DontCare
104  }
105  if (config.readIntRf) {
106    val in = io.fromInt
107    val out = io.out
108    XSDebug(in.valid, p"fromInt(${in.valid} ${in.ready}) toInt(${out.valid} ${out.ready})\n")
109    XSDebug(io.redirect.valid, p"Redirect:(${io.redirect.valid}) roqIdx:${io.redirect.bits.roqIdx}\n")
110    XSDebug(in.valid, p"src1:${Hexadecimal(in.bits.src(0))} src2:${Hexadecimal(in.bits.src(1))} " +
111      p"func:${Binary(in.bits.uop.ctrl.fuOpType)} pc:${Hexadecimal(in.bits.uop.cf.pc)} roqIdx:${in.bits.uop.roqIdx}\n")
112    XSDebug(out.valid, p"out res:${Hexadecimal(out.bits.data)} roqIdx:${out.bits.uop.roqIdx}\n")
113  }
114
115}
116
117class AluExeUnit(implicit p: Parameters) extends ExeUnit(AluExeUnitCfg)
118class JumpCSRExeUnit(implicit p: Parameters) extends ExeUnit(JumpCSRExeUnitCfg)
119class JumpExeUnit(implicit p: Parameters) extends ExeUnit(JumpExeUnitCfg)
120class StdExeUnit(implicit p: Parameters) extends ExeUnit(StdExeUnitCfg)
121class FmacExeUnit(implicit p: Parameters) extends ExeUnit(FmacExeUnitCfg)
122class FmiscExeUnit(implicit p: Parameters) extends ExeUnit(FmiscExeUnitCfg)
123
124object ExeUnitDef {
125  def apply(cfg: ExuConfig)(implicit p: Parameters): Definition[ExeUnit] = {
126    cfg match {
127      case JumpExeUnitCfg => Definition(new JumpExeUnit)
128      case AluExeUnitCfg => Definition(new AluExeUnit)
129      case MulDivExeUnitCfg => Definition(new MulDivExeUnit)
130      case JumpCSRExeUnitCfg => Definition(new JumpCSRExeUnit)
131      case FmacExeUnitCfg => Definition(new FmacExeUnit)
132      case FmiscExeUnitCfg => Definition(new FmiscExeUnit)
133      case StdExeUnitCfg => Definition(new StdExeUnit)
134      case _ => {
135        println(s"cannot generate exeUnit from $cfg")
136        null
137      }
138    }
139  }
140}
141
142