1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan.backend.decode 18 19import org.chipsalliance.cde.config.Parameters 20import chisel3._ 21import chisel3.util._ 22import freechips.rocketchip.rocket.DecodeLogic 23import freechips.rocketchip.rocket.Instructions._ 24import xiangshan.backend.decode.isa.bitfield.XSInstBitFields 25import xiangshan.backend.fu.fpu.FPU 26import xiangshan.backend.fu.vector.Bundles.{VSew, VLmul} 27import xiangshan.backend.Bundles.VPUCtrlSignals 28import xiangshan.{FPUCtrlSignals, XSModule} 29 30class FPToVecDecoder(implicit p: Parameters) extends XSModule { 31 val io = IO(new Bundle() { 32 val instr = Input(UInt(32.W)) 33 val vpuCtrl = Output(new VPUCtrlSignals) 34 }) 35 36 val inst = io.instr.asTypeOf(new XSInstBitFields) 37 val fpToVecInsts = Seq( 38 FADD_S, FSUB_S, FADD_D, FSUB_D, 39 FEQ_S, FLT_S, FLE_S, FEQ_D, FLT_D, FLE_D, 40 FMIN_S, FMAX_S, FMIN_D, FMAX_D, 41 FMUL_S, FMUL_D, 42 FDIV_S, FDIV_D, FSQRT_S, FSQRT_D, 43 FMADD_S, FMSUB_S, FNMADD_S, FNMSUB_S, FMADD_D, FMSUB_D, FNMADD_D, FNMSUB_D, 44 FCLASS_S, FCLASS_D, FSGNJ_S, FSGNJ_D, FSGNJX_S, FSGNJX_D, FSGNJN_S, FSGNJN_D, 45 46 // scalar cvt inst 47 FCVT_W_S, FCVT_WU_S, FCVT_L_S, FCVT_LU_S, 48 FCVT_W_D, FCVT_WU_D, FCVT_L_D, FCVT_LU_D, FCVT_S_D, FCVT_D_S, 49 FMV_X_W, FMV_X_D, 50 ) 51 val isFpToVecInst = fpToVecInsts.map(io.instr === _).reduce(_ || _) 52 val isFP32Instrs = Seq( 53 FADD_S, FSUB_S, FEQ_S, FLT_S, FLE_S, FMIN_S, FMAX_S, 54 FMUL_S, FDIV_S, FSQRT_S, 55 FMADD_S, FMSUB_S, FNMADD_S, FNMSUB_S, 56 FCLASS_S, FSGNJ_S, FSGNJX_S, FSGNJN_S, 57 ) 58 val isFP32Instr = isFP32Instrs.map(io.instr === _).reduce(_ || _) 59 val isFP64Instrs = Seq( 60 FADD_D, FSUB_D, FEQ_D, FLT_D, FLE_D, FMIN_D, FMAX_D, 61 FMUL_D, FDIV_D, FSQRT_D, 62 FMADD_D, FMSUB_D, FNMADD_D, FNMSUB_D, 63 FCLASS_D, FSGNJ_D, FSGNJX_D, FSGNJN_D, 64 ) 65 val isFP64Instr = isFP64Instrs.map(io.instr === _).reduce(_ || _) 66 // scalar cvt inst 67 val isSew2Cvts = Seq( 68 FCVT_W_S, FCVT_WU_S, FCVT_L_S, FCVT_LU_S, 69 FCVT_W_D, FCVT_WU_D, FCVT_S_D, FCVT_D_S, 70 FMV_X_W, 71 ) 72 val isSew2Cvt = isSew2Cvts.map(io.instr === _).reduce(_ || _) 73 val isLmulMf4Cvts = Seq( 74 FCVT_W_S, FCVT_WU_S, 75 FMV_X_W, 76 ) 77 val isLmulMf4Cvt = isLmulMf4Cvts.map(io.instr === _).reduce(_ || _) 78 val needReverseInsts = Seq( 79 FADD_S, FSUB_S, FADD_D, FSUB_D, 80 FEQ_S, FLT_S, FLE_S, FEQ_D, FLT_D, FLE_D, 81 FMIN_S, FMAX_S, FMIN_D, FMAX_D, 82 FMUL_S, FMUL_D, 83 FDIV_S, FDIV_D, FSQRT_S, FSQRT_D, 84 FMADD_S, FMSUB_S, FNMADD_S, FNMSUB_S, FMADD_D, FMSUB_D, FNMADD_D, FNMSUB_D, 85 FCLASS_S, FCLASS_D, FSGNJ_S, FSGNJ_D, FSGNJX_S, FSGNJX_D, FSGNJN_S, FSGNJN_D, 86 ) 87 val needReverseInst = needReverseInsts.map(_ === inst.ALL).reduce(_ || _) 88 io.vpuCtrl := 0.U.asTypeOf(io.vpuCtrl) 89 io.vpuCtrl.fpu.isFpToVecInst := isFpToVecInst 90 io.vpuCtrl.fpu.isFP32Instr := isFP32Instr 91 io.vpuCtrl.fpu.isFP64Instr := isFP64Instr 92 io.vpuCtrl.vill := false.B 93 io.vpuCtrl.vma := true.B 94 io.vpuCtrl.vta := true.B 95 io.vpuCtrl.vsew := Mux(isFP32Instr || isSew2Cvt, VSew.e32, VSew.e64) 96 io.vpuCtrl.vlmul := Mux(isFP32Instr || isLmulMf4Cvt, VLmul.mf4, VLmul.mf2) 97 io.vpuCtrl.vm := inst.VM 98 io.vpuCtrl.nf := inst.NF 99 io.vpuCtrl.veew := inst.WIDTH 100 io.vpuCtrl.isReverse := needReverseInst 101 io.vpuCtrl.isExt := false.B 102 io.vpuCtrl.isNarrow := false.B 103 io.vpuCtrl.isDstMask := false.B 104 io.vpuCtrl.isOpMask := false.B 105 io.vpuCtrl.isDependOldvd := false.B 106 io.vpuCtrl.isWritePartVd := false.B 107} 108 109 110class FPDecoder(implicit p: Parameters) extends XSModule{ 111 val io = IO(new Bundle() { 112 val instr = Input(UInt(32.W)) 113 val fpCtrl = Output(new FPUCtrlSignals) 114 }) 115 116 private val inst: XSInstBitFields = io.instr.asTypeOf(new XSInstBitFields) 117 118 def X = BitPat("b?") 119 def N = BitPat("b0") 120 def Y = BitPat("b1") 121 val s = BitPat(FPU.S) 122 val d = BitPat(FPU.D) 123 val i = BitPat(FPU.D) 124 125 val default = List(X,X,X,N,N,N,X,X,X) 126 127 // isAddSub tagIn tagOut fromInt wflags fpWen div sqrt fcvt 128 val single: Array[(BitPat, List[BitPat])] = Array( 129 // IntToFP 130 FMV_W_X -> List(N,i,s,Y,N,Y,N,N,N), 131 FCVT_S_W -> List(N,i,s,Y,Y,Y,N,N,Y), 132 FCVT_S_WU-> List(N,i,s,Y,Y,Y,N,N,Y), 133 FCVT_S_L -> List(N,i,s,Y,Y,Y,N,N,Y), 134 FCVT_S_LU-> List(N,i,s,Y,Y,Y,N,N,Y), 135 // FPToInt 136 FMV_X_W -> List(N,d,i,N,N,N,N,N,N), // dont box result of fmv.fp.int 137 FCLASS_S -> List(N,s,i,N,N,N,N,N,N), 138 FCVT_W_S -> List(N,s,i,N,Y,N,N,N,Y), 139 FCVT_WU_S-> List(N,s,i,N,Y,N,N,N,Y), 140 FCVT_L_S -> List(N,s,i,N,Y,N,N,N,Y), 141 FCVT_LU_S-> List(N,s,i,N,Y,N,N,N,Y), 142 FEQ_S -> List(N,s,i,N,Y,N,N,N,N), 143 FLT_S -> List(N,s,i,N,Y,N,N,N,N), 144 FLE_S -> List(N,s,i,N,Y,N,N,N,N), 145 // FPToFP 146 FSGNJ_S -> List(N,s,s,N,N,Y,N,N,N), 147 FSGNJN_S -> List(N,s,s,N,N,Y,N,N,N), 148 FSGNJX_S -> List(N,s,s,N,N,Y,N,N,N), 149 FMIN_S -> List(N,s,s,N,Y,Y,N,N,N), 150 FMAX_S -> List(N,s,s,N,Y,Y,N,N,N), 151 FADD_S -> List(Y,s,s,N,Y,Y,N,N,N), 152 FSUB_S -> List(Y,s,s,N,Y,Y,N,N,N), 153 FMUL_S -> List(N,s,s,N,Y,Y,N,N,N), 154 FMADD_S -> List(N,s,s,N,Y,Y,N,N,N), 155 FMSUB_S -> List(N,s,s,N,Y,Y,N,N,N), 156 FNMADD_S -> List(N,s,s,N,Y,Y,N,N,N), 157 FNMSUB_S -> List(N,s,s,N,Y,Y,N,N,N), 158 FDIV_S -> List(N,s,s,N,Y,Y,Y,N,N), 159 FSQRT_S -> List(N,s,s,N,Y,Y,N,Y,N) 160 ) 161 162 163 // isAddSub tagIn tagOut fromInt wflags fpWen div sqrt fcvt 164 val double: Array[(BitPat, List[BitPat])] = Array( 165 FMV_D_X -> List(N,i,d,Y,N,Y,N,N,N), 166 FCVT_D_W -> List(N,i,d,Y,Y,Y,N,N,Y), 167 FCVT_D_WU-> List(N,i,d,Y,Y,Y,N,N,Y), 168 FCVT_D_L -> List(N,i,d,Y,Y,Y,N,N,Y), 169 FCVT_D_LU-> List(N,i,d,Y,Y,Y,N,N,Y), 170 FMV_X_D -> List(N,d,i,N,N,N,N,N,N), 171 FCLASS_D -> List(N,d,i,N,N,N,N,N,N), 172 FCVT_W_D -> List(N,d,i,N,Y,N,N,N,Y), 173 FCVT_WU_D-> List(N,d,i,N,Y,N,N,N,Y), 174 FCVT_L_D -> List(N,d,i,N,Y,N,N,N,Y), 175 FCVT_LU_D-> List(N,d,i,N,Y,N,N,N,Y), 176 FCVT_S_D -> List(N,d,s,N,Y,Y,N,N,Y), 177 FCVT_D_S -> List(N,s,d,N,Y,Y,N,N,Y), 178 FEQ_D -> List(N,d,i,N,Y,N,N,N,N), 179 FLT_D -> List(N,d,i,N,Y,N,N,N,N), 180 FLE_D -> List(N,d,i,N,Y,N,N,N,N), 181 FSGNJ_D -> List(N,d,d,N,N,Y,N,N,N), 182 FSGNJN_D -> List(N,d,d,N,N,Y,N,N,N), 183 FSGNJX_D -> List(N,d,d,N,N,Y,N,N,N), 184 FMIN_D -> List(N,d,d,N,Y,Y,N,N,N), 185 FMAX_D -> List(N,d,d,N,Y,Y,N,N,N), 186 FADD_D -> List(Y,d,d,N,Y,Y,N,N,N), 187 FSUB_D -> List(Y,d,d,N,Y,Y,N,N,N), 188 FMUL_D -> List(N,d,d,N,Y,Y,N,N,N), 189 FMADD_D -> List(N,d,d,N,Y,Y,N,N,N), 190 FMSUB_D -> List(N,d,d,N,Y,Y,N,N,N), 191 FNMADD_D -> List(N,d,d,N,Y,Y,N,N,N), 192 FNMSUB_D -> List(N,d,d,N,Y,Y,N,N,N), 193 FDIV_D -> List(N,d,d,N,Y,Y,Y,N,N), 194 FSQRT_D -> List(N,d,d,N,Y,Y,N,Y,N) 195 ) 196 197 val table = single ++ double 198 199 val decoder = DecodeLogic(io.instr, default, table) 200 201 val ctrl = io.fpCtrl 202 val sigs = Seq( 203 ctrl.isAddSub, ctrl.typeTagIn, ctrl.typeTagOut, 204 ctrl.fromInt, ctrl.wflags, ctrl.fpWen, 205 ctrl.div, ctrl.sqrt, ctrl.fcvt 206 ) 207 sigs.zip(decoder).foreach({case (s, d) => s := d}) 208 ctrl.typ := inst.TYP 209 ctrl.fmt := inst.FMT 210 ctrl.rm := inst.RM 211 212 val fmaTable: Array[(BitPat, List[BitPat])] = Array( 213 FADD_S -> List(BitPat("b00"),N), 214 FADD_D -> List(BitPat("b00"),N), 215 FSUB_S -> List(BitPat("b01"),N), 216 FSUB_D -> List(BitPat("b01"),N), 217 FMUL_S -> List(BitPat("b00"),N), 218 FMUL_D -> List(BitPat("b00"),N), 219 FMADD_S -> List(BitPat("b00"),Y), 220 FMADD_D -> List(BitPat("b00"),Y), 221 FMSUB_S -> List(BitPat("b01"),Y), 222 FMSUB_D -> List(BitPat("b01"),Y), 223 FNMADD_S-> List(BitPat("b11"),Y), 224 FNMADD_D-> List(BitPat("b11"),Y), 225 FNMSUB_S-> List(BitPat("b10"),Y), 226 FNMSUB_D-> List(BitPat("b10"),Y) 227 ) 228 val fmaDefault = List(BitPat("b??"), N) 229 Seq(ctrl.fmaCmd, ctrl.ren3).zip( 230 DecodeLogic(io.instr, fmaDefault, fmaTable) 231 ).foreach({ 232 case (s, d) => s := d 233 }) 234} 235