1*c6d43980SLemover/*************************************************************************************** 2*c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3*c6d43980SLemover* 4*c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 5*c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 6*c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 7*c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 8*c6d43980SLemover* 9*c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 10*c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 11*c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 12*c6d43980SLemover* 13*c6d43980SLemover* See the Mulan PSL v2 for more details. 14*c6d43980SLemover***************************************************************************************/ 15*c6d43980SLemover 162ce29ed6SLinJiaweipackage xiangshan.backend.decode 172ce29ed6SLinJiawei 182225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters 192ce29ed6SLinJiaweiimport chisel3._ 202ce29ed6SLinJiaweiimport chisel3.util._ 212ce29ed6SLinJiaweiimport freechips.rocketchip.rocket.DecodeLogic 22a1fd7de4SLinJiaweiimport xiangshan.backend.decode.Instructions._ 232ce29ed6SLinJiaweiimport xiangshan.{FPUCtrlSignals, XSModule} 242ce29ed6SLinJiawei 252225d46eSJiawei Linclass FPDecoder(implicit p: Parameters) extends XSModule{ 262ce29ed6SLinJiawei val io = IO(new Bundle() { 272ce29ed6SLinJiawei val instr = Input(UInt(32.W)) 282ce29ed6SLinJiawei val fpCtrl = Output(new FPUCtrlSignals) 292ce29ed6SLinJiawei }) 302ce29ed6SLinJiawei 312ce29ed6SLinJiawei def X = BitPat("b?") 322ce29ed6SLinJiawei def N = BitPat("b0") 332ce29ed6SLinJiawei def Y = BitPat("b1") 342ce29ed6SLinJiawei val s = BitPat(S) 352ce29ed6SLinJiawei val d = BitPat(D) 368cc1ac81SLinJiawei val i = BitPat(I) 372ce29ed6SLinJiawei 38fe73f692SLinJiawei val default = List(X,X,X,N,N,N,X,X,X) 392ce29ed6SLinJiawei 402ce29ed6SLinJiawei // isAddSub tagIn tagOut fromInt wflags fpWen div sqrt fcvt 412ce29ed6SLinJiawei val single: Array[(BitPat, List[BitPat])] = Array( 422ce29ed6SLinJiawei FMV_W_X -> List(N,s,d,Y,N,Y,N,N,N), 432ce29ed6SLinJiawei FCVT_S_W -> List(N,s,s,Y,Y,Y,N,N,Y), 442ce29ed6SLinJiawei FCVT_S_WU-> List(N,s,s,Y,Y,Y,N,N,Y), 452ce29ed6SLinJiawei FCVT_S_L -> List(N,s,s,Y,Y,Y,N,N,Y), 462ce29ed6SLinJiawei FCVT_S_LU-> List(N,s,s,Y,Y,Y,N,N,Y), 478cc1ac81SLinJiawei FMV_X_W -> List(N,d,i,N,N,N,N,N,N), 488cc1ac81SLinJiawei FCLASS_S -> List(N,s,i,N,N,N,N,N,N), 498cc1ac81SLinJiawei FCVT_W_S -> List(N,s,i,N,Y,N,N,N,Y), 508cc1ac81SLinJiawei FCVT_WU_S-> List(N,s,i,N,Y,N,N,N,Y), 518cc1ac81SLinJiawei FCVT_L_S -> List(N,s,i,N,Y,N,N,N,Y), 528cc1ac81SLinJiawei FCVT_LU_S-> List(N,s,i,N,Y,N,N,N,Y), 538cc1ac81SLinJiawei FEQ_S -> List(N,s,i,N,Y,N,N,N,N), 548cc1ac81SLinJiawei FLT_S -> List(N,s,i,N,Y,N,N,N,N), 558cc1ac81SLinJiawei FLE_S -> List(N,s,i,N,Y,N,N,N,N), 562ce29ed6SLinJiawei FSGNJ_S -> List(N,s,s,N,N,Y,N,N,N), 572ce29ed6SLinJiawei FSGNJN_S -> List(N,s,s,N,N,Y,N,N,N), 582ce29ed6SLinJiawei FSGNJX_S -> List(N,s,s,N,N,Y,N,N,N), 592ce29ed6SLinJiawei FMIN_S -> List(N,s,s,N,Y,Y,N,N,N), 602ce29ed6SLinJiawei FMAX_S -> List(N,s,s,N,Y,Y,N,N,N), 612ce29ed6SLinJiawei FADD_S -> List(Y,s,s,N,Y,Y,N,N,N), 622ce29ed6SLinJiawei FSUB_S -> List(Y,s,s,N,Y,Y,N,N,N), 632ce29ed6SLinJiawei FMUL_S -> List(N,s,s,N,Y,Y,N,N,N), 642ce29ed6SLinJiawei FMADD_S -> List(N,s,s,N,Y,Y,N,N,N), 652ce29ed6SLinJiawei FMSUB_S -> List(N,s,s,N,Y,Y,N,N,N), 662ce29ed6SLinJiawei FNMADD_S -> List(N,s,s,N,Y,Y,N,N,N), 672ce29ed6SLinJiawei FNMSUB_S -> List(N,s,s,N,Y,Y,N,N,N), 682ce29ed6SLinJiawei FDIV_S -> List(N,s,s,N,Y,Y,Y,N,N), 692ce29ed6SLinJiawei FSQRT_S -> List(N,s,s,N,Y,Y,N,Y,N) 702ce29ed6SLinJiawei ) 712ce29ed6SLinJiawei 72e50fb2d7SLinJiawei 73e50fb2d7SLinJiawei // isAddSub tagIn tagOut fromInt wflags fpWen div sqrt fcvt 74e50fb2d7SLinJiawei val double: Array[(BitPat, List[BitPat])] = Array( 75e50fb2d7SLinJiawei FMV_D_X -> List(N,d,d,Y,N,Y,N,N,N), 76e50fb2d7SLinJiawei FCVT_D_W -> List(N,d,d,Y,Y,Y,N,N,Y), 77e50fb2d7SLinJiawei FCVT_D_WU-> List(N,d,d,Y,Y,Y,N,N,Y), 78e50fb2d7SLinJiawei FCVT_D_L -> List(N,d,d,Y,Y,Y,N,N,Y), 79e50fb2d7SLinJiawei FCVT_D_LU-> List(N,d,d,Y,Y,Y,N,N,Y), 808cc1ac81SLinJiawei FMV_X_D -> List(N,d,i,N,N,N,N,N,N), 818cc1ac81SLinJiawei FCLASS_D -> List(N,d,i,N,N,N,N,N,N), 828cc1ac81SLinJiawei FCVT_W_D -> List(N,d,i,N,Y,N,N,N,Y), 838cc1ac81SLinJiawei FCVT_WU_D-> List(N,d,i,N,Y,N,N,N,Y), 848cc1ac81SLinJiawei FCVT_L_D -> List(N,d,i,N,Y,N,N,N,Y), 858cc1ac81SLinJiawei FCVT_LU_D-> List(N,d,i,N,Y,N,N,N,Y), 86e50fb2d7SLinJiawei FCVT_S_D -> List(N,d,s,N,Y,Y,N,N,Y), 87e50fb2d7SLinJiawei FCVT_D_S -> List(N,s,d,N,Y,Y,N,N,Y), 888cc1ac81SLinJiawei FEQ_D -> List(N,d,i,N,Y,N,N,N,N), 898cc1ac81SLinJiawei FLT_D -> List(N,d,i,N,Y,N,N,N,N), 908cc1ac81SLinJiawei FLE_D -> List(N,d,i,N,Y,N,N,N,N), 91e50fb2d7SLinJiawei FSGNJ_D -> List(N,d,d,N,N,Y,N,N,N), 92e50fb2d7SLinJiawei FSGNJN_D -> List(N,d,d,N,N,Y,N,N,N), 93e50fb2d7SLinJiawei FSGNJX_D -> List(N,d,d,N,N,Y,N,N,N), 94e50fb2d7SLinJiawei FMIN_D -> List(N,d,d,N,Y,Y,N,N,N), 95e50fb2d7SLinJiawei FMAX_D -> List(N,d,d,N,Y,Y,N,N,N), 96e50fb2d7SLinJiawei FADD_D -> List(Y,d,d,N,Y,Y,N,N,N), 97e50fb2d7SLinJiawei FSUB_D -> List(Y,d,d,N,Y,Y,N,N,N), 98e50fb2d7SLinJiawei FMUL_D -> List(N,d,d,N,Y,Y,N,N,N), 99e50fb2d7SLinJiawei FMADD_D -> List(N,d,d,N,Y,Y,N,N,N), 100e50fb2d7SLinJiawei FMSUB_D -> List(N,d,d,N,Y,Y,N,N,N), 101e50fb2d7SLinJiawei FNMADD_D -> List(N,d,d,N,Y,Y,N,N,N), 102e50fb2d7SLinJiawei FNMSUB_D -> List(N,d,d,N,Y,Y,N,N,N), 103e50fb2d7SLinJiawei FDIV_D -> List(N,d,d,N,Y,Y,Y,N,N), 104e50fb2d7SLinJiawei FSQRT_D -> List(N,d,d,N,Y,Y,N,Y,N) 105e50fb2d7SLinJiawei ) 106e50fb2d7SLinJiawei 107e50fb2d7SLinJiawei val table = single ++ double 1082ce29ed6SLinJiawei 1092ce29ed6SLinJiawei val decoder = DecodeLogic(io.instr, default, table) 1102ce29ed6SLinJiawei 1112ce29ed6SLinJiawei val ctrl = io.fpCtrl 1122ce29ed6SLinJiawei val sigs = Seq( 1132ce29ed6SLinJiawei ctrl.isAddSub, ctrl.typeTagIn, ctrl.typeTagOut, 1142ce29ed6SLinJiawei ctrl.fromInt, ctrl.wflags, ctrl.fpWen, 1152ce29ed6SLinJiawei ctrl.div, ctrl.sqrt, ctrl.fcvt 1162ce29ed6SLinJiawei ) 1172ce29ed6SLinJiawei sigs.zip(decoder).foreach({case (s, d) => s := d}) 1182ce29ed6SLinJiawei ctrl.typ := io.instr(21, 20) 1192ce29ed6SLinJiawei ctrl.fmt := io.instr(26, 25) 120e6c6b64fSLinJiawei ctrl.rm := io.instr(14, 12) 1212ce29ed6SLinJiawei 1222ce29ed6SLinJiawei val fmaTable: Array[(BitPat, List[BitPat])] = Array( 123e50fb2d7SLinJiawei FADD_S -> List(BitPat("b00"),N), 124e50fb2d7SLinJiawei FADD_D -> List(BitPat("b00"),N), 125e50fb2d7SLinJiawei FSUB_S -> List(BitPat("b01"),N), 126e50fb2d7SLinJiawei FSUB_D -> List(BitPat("b01"),N), 127e50fb2d7SLinJiawei FMUL_S -> List(BitPat("b00"),N), 128e50fb2d7SLinJiawei FMUL_D -> List(BitPat("b00"),N), 129e50fb2d7SLinJiawei FMADD_S -> List(BitPat("b00"),Y), 130e50fb2d7SLinJiawei FMADD_D -> List(BitPat("b00"),Y), 131e50fb2d7SLinJiawei FMSUB_S -> List(BitPat("b01"),Y), 132e50fb2d7SLinJiawei FMSUB_D -> List(BitPat("b01"),Y), 133e50fb2d7SLinJiawei FNMADD_S-> List(BitPat("b11"),Y), 134e50fb2d7SLinJiawei FNMADD_D-> List(BitPat("b11"),Y), 135e50fb2d7SLinJiawei FNMSUB_S-> List(BitPat("b10"),Y), 136e50fb2d7SLinJiawei FNMSUB_D-> List(BitPat("b10"),Y) 1372ce29ed6SLinJiawei ) 138e50fb2d7SLinJiawei val fmaDefault = List(BitPat("b??"), N) 139e50fb2d7SLinJiawei Seq(ctrl.fmaCmd, ctrl.ren3).zip( 1402ce29ed6SLinJiawei DecodeLogic(io.instr, fmaDefault, fmaTable) 1412ce29ed6SLinJiawei ).foreach({ 1422ce29ed6SLinJiawei case (s, d) => s := d 1432ce29ed6SLinJiawei }) 1442ce29ed6SLinJiawei} 145