1c6d43980SLemover/*************************************************************************************** 2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4c6d43980SLemover* 5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 8c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 9c6d43980SLemover* 10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13c6d43980SLemover* 14c6d43980SLemover* See the Mulan PSL v2 for more details. 15c6d43980SLemover***************************************************************************************/ 16c6d43980SLemover 172ce29ed6SLinJiaweipackage xiangshan.backend.decode 182ce29ed6SLinJiawei 192225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters 202ce29ed6SLinJiaweiimport chisel3._ 212ce29ed6SLinJiaweiimport chisel3.util._ 222ce29ed6SLinJiaweiimport freechips.rocketchip.rocket.DecodeLogic 23361e6d51SJiuyang Liuimport freechips.rocketchip.rocket.Instructions._ 2498cfe81bSxgkiriimport xiangshan.backend.decode.isa.bitfield.XSInstBitFields 25dc597826SJiawei Linimport xiangshan.backend.fu.fpu.FPU 26*bdda74fdSxiaofeibao-xjtuimport xiangshan.backend.fu.vector.Bundles.{VSew, VLmul, Category} 27*bdda74fdSxiaofeibao-xjtuimport xiangshan.backend.Bundles.VPUCtrlSignals 282ce29ed6SLinJiaweiimport xiangshan.{FPUCtrlSignals, XSModule} 292ce29ed6SLinJiawei 30*bdda74fdSxiaofeibao-xjtuclass FPToVecDecoder(implicit p: Parameters) extends XSModule { 31*bdda74fdSxiaofeibao-xjtu val io = IO(new Bundle() { 32*bdda74fdSxiaofeibao-xjtu val instr = Input(UInt(32.W)) 33*bdda74fdSxiaofeibao-xjtu val vpuCtrl = Output(new VPUCtrlSignals) 34*bdda74fdSxiaofeibao-xjtu }) 35*bdda74fdSxiaofeibao-xjtu 36*bdda74fdSxiaofeibao-xjtu val inst = io.instr.asTypeOf(new XSInstBitFields) 37*bdda74fdSxiaofeibao-xjtu val fpToVecInsts = Seq( 38*bdda74fdSxiaofeibao-xjtu FADD_S, FSUB_S, FADD_D, FSUB_D, 39*bdda74fdSxiaofeibao-xjtu FEQ_S, FLT_S, FLE_S, FEQ_D, FLT_D, FLE_D, 40*bdda74fdSxiaofeibao-xjtu FMIN_S, FMAX_S, FMIN_D, FMAX_D, 41*bdda74fdSxiaofeibao-xjtu FMUL_S, FMUL_D, 42*bdda74fdSxiaofeibao-xjtu FDIV_S, FDIV_D, FSQRT_S, FSQRT_D, 43*bdda74fdSxiaofeibao-xjtu FMADD_S, FMSUB_S, FNMADD_S, FNMSUB_S, FMADD_D, FMSUB_D, FNMADD_D, FNMSUB_D, 44*bdda74fdSxiaofeibao-xjtu FCLASS_S, FCLASS_D, FSGNJ_S, FSGNJ_D, FSGNJX_S, FSGNJX_D, FSGNJN_S, FSGNJN_D, 45*bdda74fdSxiaofeibao-xjtu ) 46*bdda74fdSxiaofeibao-xjtu val isFpToVecInst = fpToVecInsts.map(io.instr === _).reduce(_ || _) 47*bdda74fdSxiaofeibao-xjtu val isFP32Instrs = Seq( 48*bdda74fdSxiaofeibao-xjtu FADD_S, FSUB_S, FEQ_S, FLT_S, FLE_S, FMIN_S, FMAX_S, 49*bdda74fdSxiaofeibao-xjtu FMUL_S, FDIV_S, FSQRT_S, 50*bdda74fdSxiaofeibao-xjtu FMADD_S, FMSUB_S, FNMADD_S, FNMSUB_S, 51*bdda74fdSxiaofeibao-xjtu FCLASS_S, FSGNJ_S, FSGNJX_S, FSGNJN_S, 52*bdda74fdSxiaofeibao-xjtu ) 53*bdda74fdSxiaofeibao-xjtu val isFP32Instr = isFP32Instrs.map(io.instr === _).reduce(_ || _) 54*bdda74fdSxiaofeibao-xjtu val isFP64Instrs = Seq( 55*bdda74fdSxiaofeibao-xjtu FADD_D, FSUB_D, FEQ_D, FLT_D, FLE_D, FMIN_D, FMAX_D, 56*bdda74fdSxiaofeibao-xjtu FMUL_D, FDIV_D, FSQRT_D, 57*bdda74fdSxiaofeibao-xjtu FMADD_D, FMSUB_D, FNMADD_D, FNMSUB_D, 58*bdda74fdSxiaofeibao-xjtu FCLASS_D, FSGNJ_D, FSGNJX_D, FSGNJN_D, 59*bdda74fdSxiaofeibao-xjtu ) 60*bdda74fdSxiaofeibao-xjtu val isFP64Instr = isFP64Instrs.map(io.instr === _).reduce(_ || _) 61*bdda74fdSxiaofeibao-xjtu val needReverseInsts = fpToVecInsts 62*bdda74fdSxiaofeibao-xjtu val needReverseInst = needReverseInsts.map(_ === inst.ALL).reduce(_ || _) 63*bdda74fdSxiaofeibao-xjtu io.vpuCtrl := 0.U.asTypeOf(io.vpuCtrl) 64*bdda74fdSxiaofeibao-xjtu io.vpuCtrl.fpu.isFpToVecInst := isFpToVecInst 65*bdda74fdSxiaofeibao-xjtu io.vpuCtrl.fpu.isFP32Instr := isFP32Instr 66*bdda74fdSxiaofeibao-xjtu io.vpuCtrl.fpu.isFP64Instr := isFP64Instr 67*bdda74fdSxiaofeibao-xjtu io.vpuCtrl.vill := false.B 68*bdda74fdSxiaofeibao-xjtu io.vpuCtrl.vma := true.B 69*bdda74fdSxiaofeibao-xjtu io.vpuCtrl.vta := true.B 70*bdda74fdSxiaofeibao-xjtu io.vpuCtrl.vsew := Mux(isFP32Instr, VSew.e32, VSew.e64) 71*bdda74fdSxiaofeibao-xjtu io.vpuCtrl.vlmul := Mux(isFP32Instr, VLmul.mf4, VLmul.mf2) 72*bdda74fdSxiaofeibao-xjtu io.vpuCtrl.vm := inst.VM 73*bdda74fdSxiaofeibao-xjtu io.vpuCtrl.nf := inst.NF 74*bdda74fdSxiaofeibao-xjtu io.vpuCtrl.needScalaSrc := Category.needScalaSrc(inst.VCATEGORY) 75*bdda74fdSxiaofeibao-xjtu io.vpuCtrl.permImmTruncate := Category.permImmTruncate(inst.VCATEGORY) 76*bdda74fdSxiaofeibao-xjtu io.vpuCtrl.isReverse := needReverseInst 77*bdda74fdSxiaofeibao-xjtu io.vpuCtrl.isExt := false.B 78*bdda74fdSxiaofeibao-xjtu io.vpuCtrl.isNarrow := false.B 79*bdda74fdSxiaofeibao-xjtu io.vpuCtrl.isDstMask := false.B 80*bdda74fdSxiaofeibao-xjtu} 81*bdda74fdSxiaofeibao-xjtu 82*bdda74fdSxiaofeibao-xjtu 832225d46eSJiawei Linclass FPDecoder(implicit p: Parameters) extends XSModule{ 842ce29ed6SLinJiawei val io = IO(new Bundle() { 852ce29ed6SLinJiawei val instr = Input(UInt(32.W)) 862ce29ed6SLinJiawei val fpCtrl = Output(new FPUCtrlSignals) 872ce29ed6SLinJiawei }) 882ce29ed6SLinJiawei 8998cfe81bSxgkiri private val inst: XSInstBitFields = io.instr.asTypeOf(new XSInstBitFields) 9098cfe81bSxgkiri 912ce29ed6SLinJiawei def X = BitPat("b?") 922ce29ed6SLinJiawei def N = BitPat("b0") 932ce29ed6SLinJiawei def Y = BitPat("b1") 94dc597826SJiawei Lin val s = BitPat(FPU.S) 95dc597826SJiawei Lin val d = BitPat(FPU.D) 96dc597826SJiawei Lin val i = BitPat(FPU.D) 972ce29ed6SLinJiawei 98fe73f692SLinJiawei val default = List(X,X,X,N,N,N,X,X,X) 992ce29ed6SLinJiawei 1002ce29ed6SLinJiawei // isAddSub tagIn tagOut fromInt wflags fpWen div sqrt fcvt 1012ce29ed6SLinJiawei val single: Array[(BitPat, List[BitPat])] = Array( 102dc597826SJiawei Lin // IntToFP 103dc597826SJiawei Lin FMV_W_X -> List(N,i,s,Y,N,Y,N,N,N), 104dc597826SJiawei Lin FCVT_S_W -> List(N,i,s,Y,Y,Y,N,N,Y), 105dc597826SJiawei Lin FCVT_S_WU-> List(N,i,s,Y,Y,Y,N,N,Y), 106dc597826SJiawei Lin FCVT_S_L -> List(N,i,s,Y,Y,Y,N,N,Y), 107dc597826SJiawei Lin FCVT_S_LU-> List(N,i,s,Y,Y,Y,N,N,Y), 108dc597826SJiawei Lin // FPToInt 109dc597826SJiawei Lin FMV_X_W -> List(N,d,i,N,N,N,N,N,N), // dont box result of fmv.fp.int 1108cc1ac81SLinJiawei FCLASS_S -> List(N,s,i,N,N,N,N,N,N), 1118cc1ac81SLinJiawei FCVT_W_S -> List(N,s,i,N,Y,N,N,N,Y), 1128cc1ac81SLinJiawei FCVT_WU_S-> List(N,s,i,N,Y,N,N,N,Y), 1138cc1ac81SLinJiawei FCVT_L_S -> List(N,s,i,N,Y,N,N,N,Y), 1148cc1ac81SLinJiawei FCVT_LU_S-> List(N,s,i,N,Y,N,N,N,Y), 1158cc1ac81SLinJiawei FEQ_S -> List(N,s,i,N,Y,N,N,N,N), 1168cc1ac81SLinJiawei FLT_S -> List(N,s,i,N,Y,N,N,N,N), 1178cc1ac81SLinJiawei FLE_S -> List(N,s,i,N,Y,N,N,N,N), 118dc597826SJiawei Lin // FPToFP 1192ce29ed6SLinJiawei FSGNJ_S -> List(N,s,s,N,N,Y,N,N,N), 1202ce29ed6SLinJiawei FSGNJN_S -> List(N,s,s,N,N,Y,N,N,N), 1212ce29ed6SLinJiawei FSGNJX_S -> List(N,s,s,N,N,Y,N,N,N), 1222ce29ed6SLinJiawei FMIN_S -> List(N,s,s,N,Y,Y,N,N,N), 1232ce29ed6SLinJiawei FMAX_S -> List(N,s,s,N,Y,Y,N,N,N), 1242ce29ed6SLinJiawei FADD_S -> List(Y,s,s,N,Y,Y,N,N,N), 1252ce29ed6SLinJiawei FSUB_S -> List(Y,s,s,N,Y,Y,N,N,N), 1262ce29ed6SLinJiawei FMUL_S -> List(N,s,s,N,Y,Y,N,N,N), 1272ce29ed6SLinJiawei FMADD_S -> List(N,s,s,N,Y,Y,N,N,N), 1282ce29ed6SLinJiawei FMSUB_S -> List(N,s,s,N,Y,Y,N,N,N), 1292ce29ed6SLinJiawei FNMADD_S -> List(N,s,s,N,Y,Y,N,N,N), 1302ce29ed6SLinJiawei FNMSUB_S -> List(N,s,s,N,Y,Y,N,N,N), 1312ce29ed6SLinJiawei FDIV_S -> List(N,s,s,N,Y,Y,Y,N,N), 1322ce29ed6SLinJiawei FSQRT_S -> List(N,s,s,N,Y,Y,N,Y,N) 1332ce29ed6SLinJiawei ) 1342ce29ed6SLinJiawei 135e50fb2d7SLinJiawei 136e50fb2d7SLinJiawei // isAddSub tagIn tagOut fromInt wflags fpWen div sqrt fcvt 137e50fb2d7SLinJiawei val double: Array[(BitPat, List[BitPat])] = Array( 138dc597826SJiawei Lin FMV_D_X -> List(N,i,d,Y,N,Y,N,N,N), 139dc597826SJiawei Lin FCVT_D_W -> List(N,i,d,Y,Y,Y,N,N,Y), 140dc597826SJiawei Lin FCVT_D_WU-> List(N,i,d,Y,Y,Y,N,N,Y), 141dc597826SJiawei Lin FCVT_D_L -> List(N,i,d,Y,Y,Y,N,N,Y), 142dc597826SJiawei Lin FCVT_D_LU-> List(N,i,d,Y,Y,Y,N,N,Y), 1438cc1ac81SLinJiawei FMV_X_D -> List(N,d,i,N,N,N,N,N,N), 1448cc1ac81SLinJiawei FCLASS_D -> List(N,d,i,N,N,N,N,N,N), 1458cc1ac81SLinJiawei FCVT_W_D -> List(N,d,i,N,Y,N,N,N,Y), 1468cc1ac81SLinJiawei FCVT_WU_D-> List(N,d,i,N,Y,N,N,N,Y), 1478cc1ac81SLinJiawei FCVT_L_D -> List(N,d,i,N,Y,N,N,N,Y), 1488cc1ac81SLinJiawei FCVT_LU_D-> List(N,d,i,N,Y,N,N,N,Y), 149e50fb2d7SLinJiawei FCVT_S_D -> List(N,d,s,N,Y,Y,N,N,Y), 150e50fb2d7SLinJiawei FCVT_D_S -> List(N,s,d,N,Y,Y,N,N,Y), 1518cc1ac81SLinJiawei FEQ_D -> List(N,d,i,N,Y,N,N,N,N), 1528cc1ac81SLinJiawei FLT_D -> List(N,d,i,N,Y,N,N,N,N), 1538cc1ac81SLinJiawei FLE_D -> List(N,d,i,N,Y,N,N,N,N), 154e50fb2d7SLinJiawei FSGNJ_D -> List(N,d,d,N,N,Y,N,N,N), 155e50fb2d7SLinJiawei FSGNJN_D -> List(N,d,d,N,N,Y,N,N,N), 156e50fb2d7SLinJiawei FSGNJX_D -> List(N,d,d,N,N,Y,N,N,N), 157e50fb2d7SLinJiawei FMIN_D -> List(N,d,d,N,Y,Y,N,N,N), 158e50fb2d7SLinJiawei FMAX_D -> List(N,d,d,N,Y,Y,N,N,N), 159e50fb2d7SLinJiawei FADD_D -> List(Y,d,d,N,Y,Y,N,N,N), 160e50fb2d7SLinJiawei FSUB_D -> List(Y,d,d,N,Y,Y,N,N,N), 161e50fb2d7SLinJiawei FMUL_D -> List(N,d,d,N,Y,Y,N,N,N), 162e50fb2d7SLinJiawei FMADD_D -> List(N,d,d,N,Y,Y,N,N,N), 163e50fb2d7SLinJiawei FMSUB_D -> List(N,d,d,N,Y,Y,N,N,N), 164e50fb2d7SLinJiawei FNMADD_D -> List(N,d,d,N,Y,Y,N,N,N), 165e50fb2d7SLinJiawei FNMSUB_D -> List(N,d,d,N,Y,Y,N,N,N), 166e50fb2d7SLinJiawei FDIV_D -> List(N,d,d,N,Y,Y,Y,N,N), 167e50fb2d7SLinJiawei FSQRT_D -> List(N,d,d,N,Y,Y,N,Y,N) 168e50fb2d7SLinJiawei ) 169e50fb2d7SLinJiawei 170e50fb2d7SLinJiawei val table = single ++ double 1712ce29ed6SLinJiawei 1722ce29ed6SLinJiawei val decoder = DecodeLogic(io.instr, default, table) 1732ce29ed6SLinJiawei 1742ce29ed6SLinJiawei val ctrl = io.fpCtrl 1752ce29ed6SLinJiawei val sigs = Seq( 1762ce29ed6SLinJiawei ctrl.isAddSub, ctrl.typeTagIn, ctrl.typeTagOut, 1772ce29ed6SLinJiawei ctrl.fromInt, ctrl.wflags, ctrl.fpWen, 1782ce29ed6SLinJiawei ctrl.div, ctrl.sqrt, ctrl.fcvt 1792ce29ed6SLinJiawei ) 1802ce29ed6SLinJiawei sigs.zip(decoder).foreach({case (s, d) => s := d}) 18198cfe81bSxgkiri ctrl.typ := inst.TYP 18298cfe81bSxgkiri ctrl.fmt := inst.FMT 18398cfe81bSxgkiri ctrl.rm := inst.RM 1842ce29ed6SLinJiawei 1852ce29ed6SLinJiawei val fmaTable: Array[(BitPat, List[BitPat])] = Array( 186e50fb2d7SLinJiawei FADD_S -> List(BitPat("b00"),N), 187e50fb2d7SLinJiawei FADD_D -> List(BitPat("b00"),N), 188e50fb2d7SLinJiawei FSUB_S -> List(BitPat("b01"),N), 189e50fb2d7SLinJiawei FSUB_D -> List(BitPat("b01"),N), 190e50fb2d7SLinJiawei FMUL_S -> List(BitPat("b00"),N), 191e50fb2d7SLinJiawei FMUL_D -> List(BitPat("b00"),N), 192e50fb2d7SLinJiawei FMADD_S -> List(BitPat("b00"),Y), 193e50fb2d7SLinJiawei FMADD_D -> List(BitPat("b00"),Y), 194e50fb2d7SLinJiawei FMSUB_S -> List(BitPat("b01"),Y), 195e50fb2d7SLinJiawei FMSUB_D -> List(BitPat("b01"),Y), 196e50fb2d7SLinJiawei FNMADD_S-> List(BitPat("b11"),Y), 197e50fb2d7SLinJiawei FNMADD_D-> List(BitPat("b11"),Y), 198e50fb2d7SLinJiawei FNMSUB_S-> List(BitPat("b10"),Y), 199e50fb2d7SLinJiawei FNMSUB_D-> List(BitPat("b10"),Y) 2002ce29ed6SLinJiawei ) 201e50fb2d7SLinJiawei val fmaDefault = List(BitPat("b??"), N) 202e50fb2d7SLinJiawei Seq(ctrl.fmaCmd, ctrl.ren3).zip( 2032ce29ed6SLinJiawei DecodeLogic(io.instr, fmaDefault, fmaTable) 2042ce29ed6SLinJiawei ).foreach({ 2052ce29ed6SLinJiawei case (s, d) => s := d 2062ce29ed6SLinJiawei }) 2072ce29ed6SLinJiawei} 208