xref: /XiangShan/src/main/scala/xiangshan/backend/decode/FPDecoder.scala (revision f2d4b9f1e2450de15959a555f87e071beadbfd41)
1c6d43980SLemover/***************************************************************************************
2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory
4c6d43980SLemover*
5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2.
6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at:
8c6d43980SLemover*          http://license.coscl.org.cn/MulanPSL2
9c6d43980SLemover*
10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13c6d43980SLemover*
14c6d43980SLemover* See the Mulan PSL v2 for more details.
15c6d43980SLemover***************************************************************************************/
16c6d43980SLemover
172ce29ed6SLinJiaweipackage xiangshan.backend.decode
182ce29ed6SLinJiawei
198891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters
202ce29ed6SLinJiaweiimport chisel3._
212ce29ed6SLinJiaweiimport chisel3.util._
222ce29ed6SLinJiaweiimport freechips.rocketchip.rocket.DecodeLogic
23361e6d51SJiuyang Liuimport freechips.rocketchip.rocket.Instructions._
2498cfe81bSxgkiriimport xiangshan.backend.decode.isa.bitfield.XSInstBitFields
25dc597826SJiawei Linimport xiangshan.backend.fu.fpu.FPU
26395c8649SZiyue-Zhangimport xiangshan.backend.fu.vector.Bundles.{VSew, VLmul}
27bdda74fdSxiaofeibao-xjtuimport xiangshan.backend.Bundles.VPUCtrlSignals
282ce29ed6SLinJiaweiimport xiangshan.{FPUCtrlSignals, XSModule}
292ce29ed6SLinJiawei
30bdda74fdSxiaofeibao-xjtuclass FPToVecDecoder(implicit p: Parameters) extends XSModule {
31bdda74fdSxiaofeibao-xjtu  val io = IO(new Bundle() {
32bdda74fdSxiaofeibao-xjtu    val instr = Input(UInt(32.W))
33bdda74fdSxiaofeibao-xjtu    val vpuCtrl = Output(new VPUCtrlSignals)
34bdda74fdSxiaofeibao-xjtu  })
35bdda74fdSxiaofeibao-xjtu
36bdda74fdSxiaofeibao-xjtu  val inst = io.instr.asTypeOf(new XSInstBitFields)
37bdda74fdSxiaofeibao-xjtu  val fpToVecInsts = Seq(
38614d2bc6SHeiHuDie    FADD_S, FSUB_S, FADD_D, FSUB_D, FADD_H, FSUB_H,
39614d2bc6SHeiHuDie    FEQ_S, FLT_S, FLE_S, FEQ_D, FLT_D, FLE_D, FEQ_H, FLT_H, FLE_H,
40614d2bc6SHeiHuDie    FMIN_S, FMAX_S, FMIN_D, FMAX_D, FMIN_H, FMAX_H,
41614d2bc6SHeiHuDie    FMUL_S, FMUL_D, FMUL_H,
42614d2bc6SHeiHuDie    FDIV_S, FDIV_D, FSQRT_S, FSQRT_D, FDIV_H, FSQRT_H,
43614d2bc6SHeiHuDie    FMADD_S, FMSUB_S, FNMADD_S, FNMSUB_S, FMADD_D, FMSUB_D, FNMADD_D, FNMSUB_D, FMADD_H, FMSUB_H, FNMADD_H, FNMSUB_H,
44bdda74fdSxiaofeibao-xjtu    FCLASS_S, FCLASS_D, FSGNJ_S, FSGNJ_D, FSGNJX_S, FSGNJX_D, FSGNJN_S, FSGNJN_D,
45614d2bc6SHeiHuDie    FCLASS_H, FSGNJ_H, FSGNJX_H, FSGNJN_H,
4634f9ccd0SZiyue Zhang    // scalar cvt inst
4734f9ccd0SZiyue Zhang    FCVT_W_S, FCVT_WU_S, FCVT_L_S, FCVT_LU_S,
4834f9ccd0SZiyue Zhang    FCVT_W_D, FCVT_WU_D, FCVT_L_D, FCVT_LU_D, FCVT_S_D, FCVT_D_S,
49b189aafaSzmx    FCVT_S_H, FCVT_H_S, FCVT_H_D, FCVT_D_H,
50b189aafaSzmx    FMV_X_W, FMV_X_D, FMV_X_H,
51614d2bc6SHeiHuDie    FCVT_W_H, FCVT_WU_H, FCVT_L_H, FCVT_LU_H,
5220b2b626SsinceforYy    // zfa inst
5320b2b626SsinceforYy    FLEQ_H, FLEQ_S, FLEQ_D, FLTQ_H, FLTQ_S, FLTQ_D, FMINM_H, FMINM_S, FMINM_D, FMAXM_H, FMAXM_S, FMAXM_D,
5420b2b626SsinceforYy    FROUND_H, FROUND_S, FROUND_D, FROUNDNX_H, FROUNDNX_S, FROUNDNX_D, FCVTMOD_W_D,
55bdda74fdSxiaofeibao-xjtu  )
56bdda74fdSxiaofeibao-xjtu  val isFpToVecInst = fpToVecInsts.map(io.instr === _).reduce(_ || _)
5720b2b626SsinceforYy  val isFP16Instrs = Seq(
5899a07030SHeiHuDie    // zfh inst
5999a07030SHeiHuDie    FADD_H, FSUB_H, FEQ_H, FLT_H, FLE_H, FMIN_H, FMAX_H,
6099a07030SHeiHuDie    FMUL_H, FDIV_H, FSQRT_H,
6199a07030SHeiHuDie    FMADD_H, FMSUB_H, FNMADD_H, FNMSUB_H,
6299a07030SHeiHuDie    FCLASS_H, FSGNJ_H, FSGNJX_H, FSGNJN_H,
6320b2b626SsinceforYy    // zfa inst
6420b2b626SsinceforYy    FLEQ_H, FLTQ_H, FMINM_H, FMAXM_H,
6520b2b626SsinceforYy    FROUND_H, FROUNDNX_H,
6620b2b626SsinceforYy  )
6720b2b626SsinceforYy  val isFP16Instr = isFP16Instrs.map(io.instr === _).reduce(_ || _)
68bdda74fdSxiaofeibao-xjtu  val isFP32Instrs = Seq(
69bdda74fdSxiaofeibao-xjtu    FADD_S, FSUB_S, FEQ_S, FLT_S, FLE_S, FMIN_S, FMAX_S,
70bdda74fdSxiaofeibao-xjtu    FMUL_S, FDIV_S, FSQRT_S,
71bdda74fdSxiaofeibao-xjtu    FMADD_S, FMSUB_S, FNMADD_S, FNMSUB_S,
72bdda74fdSxiaofeibao-xjtu    FCLASS_S, FSGNJ_S, FSGNJX_S, FSGNJN_S,
7320b2b626SsinceforYy    // zfa inst
7420b2b626SsinceforYy    FLEQ_S, FLTQ_S, FMINM_S, FMAXM_S,
7520b2b626SsinceforYy    FROUND_S, FROUNDNX_S,
76bdda74fdSxiaofeibao-xjtu  )
77bdda74fdSxiaofeibao-xjtu  val isFP32Instr = isFP32Instrs.map(io.instr === _).reduce(_ || _)
78bdda74fdSxiaofeibao-xjtu  val isFP64Instrs = Seq(
79bdda74fdSxiaofeibao-xjtu    FADD_D, FSUB_D, FEQ_D, FLT_D, FLE_D, FMIN_D, FMAX_D,
80bdda74fdSxiaofeibao-xjtu    FMUL_D, FDIV_D, FSQRT_D,
81bdda74fdSxiaofeibao-xjtu    FMADD_D, FMSUB_D, FNMADD_D, FNMSUB_D,
82bdda74fdSxiaofeibao-xjtu    FCLASS_D, FSGNJ_D, FSGNJX_D, FSGNJN_D,
83bdda74fdSxiaofeibao-xjtu  )
84bdda74fdSxiaofeibao-xjtu  val isFP64Instr = isFP64Instrs.map(io.instr === _).reduce(_ || _)
8534f9ccd0SZiyue Zhang  // scalar cvt inst
8634f9ccd0SZiyue Zhang  val isSew2Cvts = Seq(
8734f9ccd0SZiyue Zhang    FCVT_W_S, FCVT_WU_S, FCVT_L_S, FCVT_LU_S,
8834f9ccd0SZiyue Zhang    FCVT_W_D, FCVT_WU_D, FCVT_S_D, FCVT_D_S,
8934f9ccd0SZiyue Zhang    FMV_X_W,
9020b2b626SsinceforYy    // zfa inst
9120b2b626SsinceforYy    FCVTMOD_W_D,
9234f9ccd0SZiyue Zhang  )
93b189aafaSzmx  /*
94b189aafaSzmx  The optype for FCVT_D_H and FCVT_H_D is the same,
95b189aafaSzmx  so the two instructions are distinguished by sew.
96b189aafaSzmx  FCVT_H_D:VSew.e64
97b189aafaSzmx  FCVT_D_H:VSew.e16
98b189aafaSzmx   */
99b189aafaSzmx  val isSew2Cvth = Seq(
100b189aafaSzmx    FCVT_S_H, FCVT_H_S, FCVT_D_H,
101b189aafaSzmx    FMV_X_H,
102614d2bc6SHeiHuDie    FCVT_W_H, FCVT_L_H, FCVT_H_W,
103614d2bc6SHeiHuDie    FCVT_H_L, FCVT_H_WU, FCVT_H_LU,
104614d2bc6SHeiHuDie    FCVT_WU_H, FCVT_LU_H,
105b189aafaSzmx  )
106b189aafaSzmx  val isSew2Cvt32 = isSew2Cvts.map(io.instr === _).reduce(_ || _)
107b189aafaSzmx  val isSew2Cvt16 = isSew2Cvth.map(io.instr === _).reduce(_ || _)
10834f9ccd0SZiyue Zhang  val isLmulMf4Cvts = Seq(
10934f9ccd0SZiyue Zhang    FCVT_W_S, FCVT_WU_S,
11034f9ccd0SZiyue Zhang    FMV_X_W,
11134f9ccd0SZiyue Zhang  )
11234f9ccd0SZiyue Zhang  val isLmulMf4Cvt = isLmulMf4Cvts.map(io.instr === _).reduce(_ || _)
1134b136a73Ssinsanction  val needReverseInsts = Seq(
114614d2bc6SHeiHuDie    FADD_S, FSUB_S, FADD_D, FSUB_D, FADD_H, FSUB_H,
115614d2bc6SHeiHuDie    FEQ_S, FLT_S, FLE_S, FEQ_D, FLT_D, FLE_D, FEQ_H, FLT_H, FLE_H,
116614d2bc6SHeiHuDie    FMIN_S, FMAX_S, FMIN_D, FMAX_D, FMIN_H, FMAX_H,
117614d2bc6SHeiHuDie    FMUL_S, FMUL_D, FMUL_H,
118614d2bc6SHeiHuDie    FDIV_S, FDIV_D, FSQRT_S, FSQRT_D, FDIV_H, FSQRT_H,
1194b136a73Ssinsanction    FMADD_S, FMSUB_S, FNMADD_S, FNMSUB_S, FMADD_D, FMSUB_D, FNMADD_D, FNMSUB_D,
120614d2bc6SHeiHuDie    FMADD_H, FMSUB_H, FNMADD_H, FNMSUB_H,
1214b136a73Ssinsanction    FCLASS_S, FCLASS_D, FSGNJ_S, FSGNJ_D, FSGNJX_S, FSGNJX_D, FSGNJN_S, FSGNJN_D,
122614d2bc6SHeiHuDie    FCLASS_H, FSGNJ_H, FSGNJX_H, FSGNJN_H,
12320b2b626SsinceforYy    // zfa inst
12420b2b626SsinceforYy    FLEQ_H, FLEQ_S, FLEQ_D, FLTQ_H, FLTQ_S, FLTQ_D, FMINM_H, FMINM_S, FMINM_D, FMAXM_H, FMAXM_S, FMAXM_D,
1254b136a73Ssinsanction  )
126bdda74fdSxiaofeibao-xjtu  val needReverseInst = needReverseInsts.map(_ === inst.ALL).reduce(_ || _)
127bdda74fdSxiaofeibao-xjtu  io.vpuCtrl := 0.U.asTypeOf(io.vpuCtrl)
128bdda74fdSxiaofeibao-xjtu  io.vpuCtrl.fpu.isFpToVecInst := isFpToVecInst
129bdda74fdSxiaofeibao-xjtu  io.vpuCtrl.fpu.isFP32Instr   := isFP32Instr
130bdda74fdSxiaofeibao-xjtu  io.vpuCtrl.fpu.isFP64Instr   := isFP64Instr
131bdda74fdSxiaofeibao-xjtu  io.vpuCtrl.vill  := false.B
132bdda74fdSxiaofeibao-xjtu  io.vpuCtrl.vma   := true.B
133bdda74fdSxiaofeibao-xjtu  io.vpuCtrl.vta   := true.B
13420b2b626SsinceforYy  io.vpuCtrl.vsew  := Mux(isFP32Instr || isSew2Cvt32, VSew.e32, Mux(isFP16Instr || isSew2Cvt16, VSew.e16, VSew.e64))
13534f9ccd0SZiyue Zhang  io.vpuCtrl.vlmul := Mux(isFP32Instr || isLmulMf4Cvt, VLmul.mf4, VLmul.mf2)
136bdda74fdSxiaofeibao-xjtu  io.vpuCtrl.vm    := inst.VM
137bdda74fdSxiaofeibao-xjtu  io.vpuCtrl.nf    := inst.NF
138d9355d3aSZiyue-Zhang  io.vpuCtrl.veew := inst.WIDTH
139bdda74fdSxiaofeibao-xjtu  io.vpuCtrl.isReverse := needReverseInst
140bdda74fdSxiaofeibao-xjtu  io.vpuCtrl.isExt     := false.B
141bdda74fdSxiaofeibao-xjtu  io.vpuCtrl.isNarrow  := false.B
142bdda74fdSxiaofeibao-xjtu  io.vpuCtrl.isDstMask := false.B
14330fcc710SZiyue Zhang  io.vpuCtrl.isOpMask  := false.B
1444376b525SZiyue Zhang  io.vpuCtrl.isDependOldVd := false.B
145d8ceb649SZiyue Zhang  io.vpuCtrl.isWritePartVd := false.B
146bdda74fdSxiaofeibao-xjtu}
147bdda74fdSxiaofeibao-xjtu
148bdda74fdSxiaofeibao-xjtu
1492225d46eSJiawei Linclass FPDecoder(implicit p: Parameters) extends XSModule{
1502ce29ed6SLinJiawei  val io = IO(new Bundle() {
1512ce29ed6SLinJiawei    val instr = Input(UInt(32.W))
1522ce29ed6SLinJiawei    val fpCtrl = Output(new FPUCtrlSignals)
1532ce29ed6SLinJiawei  })
1542ce29ed6SLinJiawei
15598cfe81bSxgkiri  private val inst: XSInstBitFields = io.instr.asTypeOf(new XSInstBitFields)
15698cfe81bSxgkiri
1572ce29ed6SLinJiawei  def X = BitPat("b?")
158614d2bc6SHeiHuDie  def T = BitPat("b??") //type
1592ce29ed6SLinJiawei  def N = BitPat("b0")
1602ce29ed6SLinJiawei  def Y = BitPat("b1")
161614d2bc6SHeiHuDie  val s = BitPat(FPU.S(1,0))
162614d2bc6SHeiHuDie  val d = BitPat(FPU.D(1,0))
163614d2bc6SHeiHuDie  val i = BitPat(FPU.D(1,0))
164614d2bc6SHeiHuDie  val h = BitPat(FPU.H(1,0))
1652ce29ed6SLinJiawei
166614d2bc6SHeiHuDie  val default = List(X,T,T,N,N,N,X,X,X)
1672ce29ed6SLinJiawei
1682ce29ed6SLinJiawei  // isAddSub tagIn tagOut fromInt wflags fpWen div sqrt fcvt
1692ce29ed6SLinJiawei  val single: Array[(BitPat, List[BitPat])] = Array(
170dc597826SJiawei Lin    // IntToFP
171dc597826SJiawei Lin    FMV_W_X  -> List(N,i,s,Y,N,Y,N,N,N),
172dc597826SJiawei Lin    FCVT_S_W -> List(N,i,s,Y,Y,Y,N,N,Y),
173dc597826SJiawei Lin    FCVT_S_WU-> List(N,i,s,Y,Y,Y,N,N,Y),
174dc597826SJiawei Lin    FCVT_S_L -> List(N,i,s,Y,Y,Y,N,N,Y),
175dc597826SJiawei Lin    FCVT_S_LU-> List(N,i,s,Y,Y,Y,N,N,Y),
176dc597826SJiawei Lin    // FPToInt
177dc597826SJiawei Lin    FMV_X_W  -> List(N,d,i,N,N,N,N,N,N), // dont box result of fmv.fp.int
1788cc1ac81SLinJiawei    FCLASS_S -> List(N,s,i,N,N,N,N,N,N),
1798cc1ac81SLinJiawei    FCVT_W_S -> List(N,s,i,N,Y,N,N,N,Y),
1808cc1ac81SLinJiawei    FCVT_WU_S-> List(N,s,i,N,Y,N,N,N,Y),
1818cc1ac81SLinJiawei    FCVT_L_S -> List(N,s,i,N,Y,N,N,N,Y),
1828cc1ac81SLinJiawei    FCVT_LU_S-> List(N,s,i,N,Y,N,N,N,Y),
1838cc1ac81SLinJiawei    FEQ_S    -> List(N,s,i,N,Y,N,N,N,N),
1848cc1ac81SLinJiawei    FLT_S    -> List(N,s,i,N,Y,N,N,N,N),
1858cc1ac81SLinJiawei    FLE_S    -> List(N,s,i,N,Y,N,N,N,N),
186dc597826SJiawei Lin    // FPToFP
1872ce29ed6SLinJiawei    FSGNJ_S  -> List(N,s,s,N,N,Y,N,N,N),
1882ce29ed6SLinJiawei    FSGNJN_S -> List(N,s,s,N,N,Y,N,N,N),
1892ce29ed6SLinJiawei    FSGNJX_S -> List(N,s,s,N,N,Y,N,N,N),
1902ce29ed6SLinJiawei    FMIN_S   -> List(N,s,s,N,Y,Y,N,N,N),
1912ce29ed6SLinJiawei    FMAX_S   -> List(N,s,s,N,Y,Y,N,N,N),
1922ce29ed6SLinJiawei    FADD_S   -> List(Y,s,s,N,Y,Y,N,N,N),
1932ce29ed6SLinJiawei    FSUB_S   -> List(Y,s,s,N,Y,Y,N,N,N),
1942ce29ed6SLinJiawei    FMUL_S   -> List(N,s,s,N,Y,Y,N,N,N),
1952ce29ed6SLinJiawei    FMADD_S  -> List(N,s,s,N,Y,Y,N,N,N),
1962ce29ed6SLinJiawei    FMSUB_S  -> List(N,s,s,N,Y,Y,N,N,N),
1972ce29ed6SLinJiawei    FNMADD_S -> List(N,s,s,N,Y,Y,N,N,N),
1982ce29ed6SLinJiawei    FNMSUB_S -> List(N,s,s,N,Y,Y,N,N,N),
1992ce29ed6SLinJiawei    FDIV_S   -> List(N,s,s,N,Y,Y,Y,N,N),
2002ce29ed6SLinJiawei    FSQRT_S  -> List(N,s,s,N,Y,Y,N,Y,N)
2012ce29ed6SLinJiawei  )
2022ce29ed6SLinJiawei
203e50fb2d7SLinJiawei
204e50fb2d7SLinJiawei  // isAddSub tagIn tagOut fromInt wflags fpWen div sqrt fcvt
205e50fb2d7SLinJiawei  val double: Array[(BitPat, List[BitPat])] = Array(
206dc597826SJiawei Lin    FMV_D_X  -> List(N,i,d,Y,N,Y,N,N,N),
207dc597826SJiawei Lin    FCVT_D_W -> List(N,i,d,Y,Y,Y,N,N,Y),
208dc597826SJiawei Lin    FCVT_D_WU-> List(N,i,d,Y,Y,Y,N,N,Y),
209dc597826SJiawei Lin    FCVT_D_L -> List(N,i,d,Y,Y,Y,N,N,Y),
210dc597826SJiawei Lin    FCVT_D_LU-> List(N,i,d,Y,Y,Y,N,N,Y),
2118cc1ac81SLinJiawei    FMV_X_D  -> List(N,d,i,N,N,N,N,N,N),
2128cc1ac81SLinJiawei    FCLASS_D -> List(N,d,i,N,N,N,N,N,N),
2138cc1ac81SLinJiawei    FCVT_W_D -> List(N,d,i,N,Y,N,N,N,Y),
2148cc1ac81SLinJiawei    FCVT_WU_D-> List(N,d,i,N,Y,N,N,N,Y),
2158cc1ac81SLinJiawei    FCVT_L_D -> List(N,d,i,N,Y,N,N,N,Y),
2168cc1ac81SLinJiawei    FCVT_LU_D-> List(N,d,i,N,Y,N,N,N,Y),
217e50fb2d7SLinJiawei    FCVT_S_D -> List(N,d,s,N,Y,Y,N,N,Y),
218e50fb2d7SLinJiawei    FCVT_D_S -> List(N,s,d,N,Y,Y,N,N,Y),
2198cc1ac81SLinJiawei    FEQ_D    -> List(N,d,i,N,Y,N,N,N,N),
2208cc1ac81SLinJiawei    FLT_D    -> List(N,d,i,N,Y,N,N,N,N),
2218cc1ac81SLinJiawei    FLE_D    -> List(N,d,i,N,Y,N,N,N,N),
222e50fb2d7SLinJiawei    FSGNJ_D  -> List(N,d,d,N,N,Y,N,N,N),
223e50fb2d7SLinJiawei    FSGNJN_D -> List(N,d,d,N,N,Y,N,N,N),
224e50fb2d7SLinJiawei    FSGNJX_D -> List(N,d,d,N,N,Y,N,N,N),
225e50fb2d7SLinJiawei    FMIN_D   -> List(N,d,d,N,Y,Y,N,N,N),
226e50fb2d7SLinJiawei    FMAX_D   -> List(N,d,d,N,Y,Y,N,N,N),
227e50fb2d7SLinJiawei    FADD_D   -> List(Y,d,d,N,Y,Y,N,N,N),
228e50fb2d7SLinJiawei    FSUB_D   -> List(Y,d,d,N,Y,Y,N,N,N),
229e50fb2d7SLinJiawei    FMUL_D   -> List(N,d,d,N,Y,Y,N,N,N),
230e50fb2d7SLinJiawei    FMADD_D  -> List(N,d,d,N,Y,Y,N,N,N),
231e50fb2d7SLinJiawei    FMSUB_D  -> List(N,d,d,N,Y,Y,N,N,N),
232e50fb2d7SLinJiawei    FNMADD_D -> List(N,d,d,N,Y,Y,N,N,N),
233e50fb2d7SLinJiawei    FNMSUB_D -> List(N,d,d,N,Y,Y,N,N,N),
234e50fb2d7SLinJiawei    FDIV_D   -> List(N,d,d,N,Y,Y,Y,N,N),
235e50fb2d7SLinJiawei    FSQRT_D  -> List(N,d,d,N,Y,Y,N,Y,N)
236e50fb2d7SLinJiawei  )
237e50fb2d7SLinJiawei
238614d2bc6SHeiHuDie  val half : Array[(BitPat, List[BitPat])] = Array(
239614d2bc6SHeiHuDie    // IntToFP
240614d2bc6SHeiHuDie    FMV_H_X  -> List(N,i,h,Y,N,Y,N,N,N),
241614d2bc6SHeiHuDie    FCVT_H_W -> List(N,i,h,Y,Y,Y,N,N,Y),
242614d2bc6SHeiHuDie    FCVT_H_WU-> List(N,i,h,Y,Y,Y,N,N,Y),
243614d2bc6SHeiHuDie    FCVT_H_L -> List(N,i,h,Y,Y,Y,N,N,Y),
244614d2bc6SHeiHuDie    FCVT_H_LU-> List(N,i,h,Y,Y,Y,N,N,Y),
245614d2bc6SHeiHuDie    // FPToInt
246614d2bc6SHeiHuDie    FMV_X_H  -> List(N,h,i,N,N,N,N,N,N), // d or h ??
247614d2bc6SHeiHuDie    FCLASS_H -> List(N,h,i,N,N,N,N,N,N),
248614d2bc6SHeiHuDie    FCVT_W_H -> List(N,h,i,N,Y,N,N,N,Y),
249614d2bc6SHeiHuDie    FCVT_WU_H-> List(N,h,i,N,Y,N,N,N,Y),
250614d2bc6SHeiHuDie    FCVT_L_H -> List(N,h,i,N,Y,N,N,N,Y),
251614d2bc6SHeiHuDie    FCVT_LU_H-> List(N,h,i,N,Y,N,N,N,Y),
252614d2bc6SHeiHuDie    FEQ_H    -> List(N,h,i,N,Y,N,N,N,N),
253614d2bc6SHeiHuDie    FLT_H    -> List(N,h,i,N,Y,N,N,N,N),
254614d2bc6SHeiHuDie    FLE_H    -> List(N,h,i,N,Y,N,N,N,N),
255614d2bc6SHeiHuDie    // FPToFP
256614d2bc6SHeiHuDie    FSGNJ_H  -> List(N,h,h,N,N,Y,N,N,N),
257614d2bc6SHeiHuDie    FSGNJN_H -> List(N,h,h,N,N,Y,N,N,N),
258614d2bc6SHeiHuDie    FSGNJX_H -> List(N,h,h,N,N,Y,N,N,N),
259614d2bc6SHeiHuDie    FMIN_H   -> List(N,h,h,N,Y,Y,N,N,N),
260614d2bc6SHeiHuDie    FMAX_H   -> List(N,h,h,N,Y,Y,N,N,N),
261614d2bc6SHeiHuDie    FADD_H   -> List(Y,h,h,N,Y,Y,N,N,N),
262614d2bc6SHeiHuDie    FSUB_H   -> List(Y,h,h,N,Y,Y,N,N,N),
263614d2bc6SHeiHuDie    FMUL_H   -> List(N,h,h,N,Y,Y,N,N,N),
264614d2bc6SHeiHuDie    FMADD_H  -> List(N,h,h,N,Y,Y,N,N,N),
265614d2bc6SHeiHuDie    FMSUB_H  -> List(N,h,h,N,Y,Y,N,N,N),
266614d2bc6SHeiHuDie    FNMADD_H -> List(N,h,h,N,Y,Y,N,N,N),
267614d2bc6SHeiHuDie    FNMSUB_H -> List(N,h,h,N,Y,Y,N,N,N),
268614d2bc6SHeiHuDie    FDIV_H   -> List(N,h,h,N,Y,Y,Y,N,N),
269614d2bc6SHeiHuDie    FSQRT_H  -> List(N,h,h,N,Y,Y,N,Y,N)
270614d2bc6SHeiHuDie  )
271614d2bc6SHeiHuDie
272614d2bc6SHeiHuDie  val table = single ++ double ++ half
2732ce29ed6SLinJiawei
2742ce29ed6SLinJiawei  val decoder = DecodeLogic(io.instr, default, table)
2752ce29ed6SLinJiawei
2762ce29ed6SLinJiawei  val ctrl = io.fpCtrl
27739be24bcSxiaofeibao  val sigs = Seq(ctrl.typeTagOut, ctrl.wflags)
27839be24bcSxiaofeibao  // TODO dirty code
27939be24bcSxiaofeibao  sigs(0) := decoder(2)
28039be24bcSxiaofeibao  sigs(1) := decoder(4)
28198cfe81bSxgkiri  ctrl.typ := inst.TYP
282*f2d4b9f1Sxiaofeibao  val isFP16Instrs = Seq(
283*f2d4b9f1Sxiaofeibao    // zfh inst
284*f2d4b9f1Sxiaofeibao    FADD_H, FSUB_H, FEQ_H, FLT_H, FLE_H, FMIN_H, FMAX_H,
285*f2d4b9f1Sxiaofeibao    FMUL_H, FDIV_H, FSQRT_H,
286*f2d4b9f1Sxiaofeibao    FMADD_H, FMSUB_H, FNMADD_H, FNMSUB_H,
287*f2d4b9f1Sxiaofeibao    FCLASS_H, FSGNJ_H, FSGNJX_H, FSGNJN_H,
288*f2d4b9f1Sxiaofeibao    // zfa inst
289*f2d4b9f1Sxiaofeibao    FLEQ_H, FLTQ_H, FMINM_H, FMAXM_H,
290*f2d4b9f1Sxiaofeibao    FROUND_H, FROUNDNX_H,
291*f2d4b9f1Sxiaofeibao  )
292*f2d4b9f1Sxiaofeibao  val isFP16Instr = isFP16Instrs.map(io.instr === _).reduce(_ || _)
293*f2d4b9f1Sxiaofeibao  val isFP32Instrs = Seq(
294*f2d4b9f1Sxiaofeibao    FADD_S, FSUB_S, FEQ_S, FLT_S, FLE_S, FMIN_S, FMAX_S,
295*f2d4b9f1Sxiaofeibao    FMUL_S, FDIV_S, FSQRT_S,
296*f2d4b9f1Sxiaofeibao    FMADD_S, FMSUB_S, FNMADD_S, FNMSUB_S,
297*f2d4b9f1Sxiaofeibao    FCLASS_S, FSGNJ_S, FSGNJX_S, FSGNJN_S,
298*f2d4b9f1Sxiaofeibao    // zfa inst
299*f2d4b9f1Sxiaofeibao    FLEQ_S, FLTQ_S, FMINM_S, FMAXM_S,
300*f2d4b9f1Sxiaofeibao    FROUND_S, FROUNDNX_S,
301*f2d4b9f1Sxiaofeibao  )
302*f2d4b9f1Sxiaofeibao  val isFP32Instr = isFP32Instrs.map(io.instr === _).reduce(_ || _)
303*f2d4b9f1Sxiaofeibao  val isFP64Instrs = Seq(
304*f2d4b9f1Sxiaofeibao    FADD_D, FSUB_D, FEQ_D, FLT_D, FLE_D, FMIN_D, FMAX_D,
305*f2d4b9f1Sxiaofeibao    FMUL_D, FDIV_D, FSQRT_D,
306*f2d4b9f1Sxiaofeibao    FMADD_D, FMSUB_D, FNMADD_D, FNMSUB_D,
307*f2d4b9f1Sxiaofeibao    FCLASS_D, FSGNJ_D, FSGNJX_D, FSGNJN_D,
308*f2d4b9f1Sxiaofeibao  )
309*f2d4b9f1Sxiaofeibao  val isFP64Instr = isFP64Instrs.map(io.instr === _).reduce(_ || _)
31039be24bcSxiaofeibao  // scalar cvt inst
31139be24bcSxiaofeibao  val isSew2Cvts = Seq(
31239be24bcSxiaofeibao    FCVT_W_S, FCVT_WU_S, FCVT_L_S, FCVT_LU_S,
31339be24bcSxiaofeibao    FCVT_W_D, FCVT_WU_D, FCVT_S_D, FCVT_D_S,
31439be24bcSxiaofeibao    FMV_X_W,
31539be24bcSxiaofeibao    // zfa inst
31639be24bcSxiaofeibao    FCVTMOD_W_D,
31739be24bcSxiaofeibao  )
31839be24bcSxiaofeibao  /*
31939be24bcSxiaofeibao  The optype for FCVT_D_H and FCVT_H_D is the same,
32039be24bcSxiaofeibao  so the two instructions are distinguished by sew.
32139be24bcSxiaofeibao  FCVT_H_D:VSew.e64
32239be24bcSxiaofeibao  FCVT_D_H:VSew.e16
32339be24bcSxiaofeibao   */
32439be24bcSxiaofeibao  val isSew2Cvth = Seq(
32539be24bcSxiaofeibao    FCVT_S_H, FCVT_H_S, FCVT_D_H,
32639be24bcSxiaofeibao    FMV_X_H,
32739be24bcSxiaofeibao    FCVT_W_H, FCVT_L_H, FCVT_H_W,
32839be24bcSxiaofeibao    FCVT_H_L, FCVT_H_WU, FCVT_H_LU,
32939be24bcSxiaofeibao    FCVT_WU_H, FCVT_LU_H,
33039be24bcSxiaofeibao  )
33139be24bcSxiaofeibao  val simpleFmt = Mux1H(
33239be24bcSxiaofeibao    // scala format to vsew format, when inst.FMT === "b11".U, ctrl.fmt := "b00".U
33339be24bcSxiaofeibao    Seq(
33439be24bcSxiaofeibao      (inst.FMT === "b00".U) -> "b10".U, // S
33539be24bcSxiaofeibao      (inst.FMT === "b01".U) -> "b11".U, // D
33639be24bcSxiaofeibao      (inst.FMT === "b10".U) -> "b01".U, // H
33739be24bcSxiaofeibao    )
33839be24bcSxiaofeibao  )
33939be24bcSxiaofeibao  val isSew2Cvt32 = isSew2Cvts.map(io.instr === _).reduce(_ || _)
34039be24bcSxiaofeibao  val isSew2Cvt16 = isSew2Cvth.map(io.instr === _).reduce(_ || _)
341*f2d4b9f1Sxiaofeibao  ctrl.fmt := Mux(isFP32Instr || isSew2Cvt32, VSew.e32, Mux(isFP16Instr || isSew2Cvt16, VSew.e16, VSew.e64))
34298cfe81bSxgkiri  ctrl.rm := inst.RM
3432ce29ed6SLinJiawei
3442ce29ed6SLinJiawei  val fmaTable: Array[(BitPat, List[BitPat])] = Array(
345e50fb2d7SLinJiawei    FADD_S  -> List(BitPat("b00"),N),
346e50fb2d7SLinJiawei    FADD_D  -> List(BitPat("b00"),N),
347614d2bc6SHeiHuDie    FADD_H  -> List(BitPat("b00"),N),
348e50fb2d7SLinJiawei    FSUB_S  -> List(BitPat("b01"),N),
349e50fb2d7SLinJiawei    FSUB_D  -> List(BitPat("b01"),N),
350614d2bc6SHeiHuDie    FSUB_H  -> List(BitPat("b01"),N),
351e50fb2d7SLinJiawei    FMUL_S  -> List(BitPat("b00"),N),
352e50fb2d7SLinJiawei    FMUL_D  -> List(BitPat("b00"),N),
353614d2bc6SHeiHuDie    FMUL_H  -> List(BitPat("b00"),N),
354e50fb2d7SLinJiawei    FMADD_S -> List(BitPat("b00"),Y),
355e50fb2d7SLinJiawei    FMADD_D -> List(BitPat("b00"),Y),
356614d2bc6SHeiHuDie    FMADD_H -> List(BitPat("b00"),Y),
357e50fb2d7SLinJiawei    FMSUB_S -> List(BitPat("b01"),Y),
358e50fb2d7SLinJiawei    FMSUB_D -> List(BitPat("b01"),Y),
359614d2bc6SHeiHuDie    FMSUB_H -> List(BitPat("b01"),Y),
360e50fb2d7SLinJiawei    FNMADD_S-> List(BitPat("b11"),Y),
361e50fb2d7SLinJiawei    FNMADD_D-> List(BitPat("b11"),Y),
362614d2bc6SHeiHuDie    FNMADD_H-> List(BitPat("b11"),Y),
363e50fb2d7SLinJiawei    FNMSUB_S-> List(BitPat("b10"),Y),
364614d2bc6SHeiHuDie    FNMSUB_D-> List(BitPat("b10"),Y),
365614d2bc6SHeiHuDie    FNMSUB_H-> List(BitPat("b10"),Y)
3662ce29ed6SLinJiawei  )
367e50fb2d7SLinJiawei  val fmaDefault = List(BitPat("b??"), N)
3682ce29ed6SLinJiawei}
369