1d91483a6Sfdy/*************************************************************************************** 2d91483a6Sfdy * Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3d91483a6Sfdy * Copyright (c) 2020-2021 Peng Cheng Laboratory 4d91483a6Sfdy * 5d91483a6Sfdy * XiangShan is licensed under Mulan PSL v2. 6d91483a6Sfdy * You can use this software according to the terms and conditions of the Mulan PSL v2. 7d91483a6Sfdy * You may obtain a copy of Mulan PSL v2 at: 8d91483a6Sfdy * http://license.coscl.org.cn/MulanPSL2 9d91483a6Sfdy * 10d91483a6Sfdy * THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11d91483a6Sfdy * EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12d91483a6Sfdy * MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13d91483a6Sfdy * 14d91483a6Sfdy * See the Mulan PSL v2 for more details. 15d91483a6Sfdy ***************************************************************************************/ 16d91483a6Sfdy 17d91483a6Sfdypackage xiangshan.backend.decode 18d91483a6Sfdy 19d91483a6Sfdyimport chipsalliance.rocketchip.config.Parameters 20d91483a6Sfdyimport chisel3._ 21d91483a6Sfdyimport chisel3.util._ 22d91483a6Sfdyimport freechips.rocketchip.rocket.Instructions 23d91483a6Sfdyimport freechips.rocketchip.util.uintToBitPat 24d91483a6Sfdyimport utils._ 25d91483a6Sfdyimport utility._ 26d91483a6Sfdyimport xiangshan.ExceptionNO.illegalInstr 27d91483a6Sfdyimport xiangshan._ 28d91483a6Sfdyimport xiangshan.backend.fu.fpu.FPU 29d91483a6Sfdyimport xiangshan.backend.fu.FuType 30d91483a6Sfdyimport freechips.rocketchip.rocket.Instructions._ 31d91483a6Sfdyimport xiangshan.backend.Bundles.{DecodedInst, StaticInst} 32b52d4755SXuan Huimport xiangshan.backend.fu.vector.Bundles.VType 33d91483a6Sfdyimport yunsuan.VpermType 34d91483a6Sfdy 35d91483a6Sfdyimport scala.collection.Seq 36d91483a6Sfdy 37d91483a6Sfdytrait VectorConstants { 38d91483a6Sfdy val MAX_VLMUL = 8 39d91483a6Sfdy val FP_TMP_REG_MV = 32 40d91483a6Sfdy val VECTOR_TMP_REG_LMUL = 32 // 32~38 -> 7 41d91483a6Sfdy} 42d91483a6Sfdy 43d91483a6Sfdyclass DecodeUnitCompIO(implicit p: Parameters) extends XSBundle { 44d91483a6Sfdy val enq = new Bundle { val staticInst = Input(new StaticInst) } 45d91483a6Sfdy val vtype = Input(new VType) 46d91483a6Sfdy val isComplex = Input(Vec(DecodeWidth - 1, Bool())) 47d91483a6Sfdy val validFromIBuf = Input(Vec(DecodeWidth, Bool())) 48d91483a6Sfdy val readyFromRename = Input(Vec(RenameWidth, Bool())) 49d91483a6Sfdy val deq = new Bundle { 50d91483a6Sfdy val decodedInsts = Output(Vec(RenameWidth, new DecodedInst)) 51d91483a6Sfdy val isVset = Output(Bool()) 52d91483a6Sfdy val readyToIBuf = Output(Vec(DecodeWidth, Bool())) 53d91483a6Sfdy val validToRename = Output(Vec(RenameWidth, Bool())) 54d91483a6Sfdy val complexNum = Output(UInt(3.W)) 55d91483a6Sfdy } 56d91483a6Sfdy val csrCtrl = Input(new CustomCSRCtrlIO) 57d91483a6Sfdy} 5817ec87f2SXuan Hu 59d91483a6Sfdy/** 60d91483a6Sfdy * @author zly 61d91483a6Sfdy */ 62d91483a6Sfdyclass DecodeUnitComp()(implicit p : Parameters) extends XSModule with DecodeUnitConstants with VectorConstants { 63d91483a6Sfdy val io = IO(new DecodeUnitCompIO) 64d91483a6Sfdy 65d91483a6Sfdy val maxUopSize = MaxUopSize 66d91483a6Sfdy //input bits 67d91483a6Sfdy val staticInst = Wire(new StaticInst) 68d91483a6Sfdy 69d91483a6Sfdy staticInst := io.enq.staticInst 70d91483a6Sfdy 71d91483a6Sfdy val src1 = Cat(0.U(1.W), staticInst.instr(19, 15)) 72d91483a6Sfdy val src2 = Cat(0.U(1.W), staticInst.instr(24, 20)) 73d91483a6Sfdy val dest = Cat(0.U(1.W), staticInst.instr(11, 7)) 74d91483a6Sfdy 75d91483a6Sfdy //output bits 76d91483a6Sfdy val decodedInsts = Wire(Vec(RenameWidth, new DecodedInst)) 77d91483a6Sfdy val validToRename = Wire(Vec(RenameWidth, Bool())) 78d91483a6Sfdy val readyToIBuf = Wire(Vec(DecodeWidth, Bool())) 79d91483a6Sfdy val complexNum = Wire(UInt(3.W)) 80d91483a6Sfdy 81d91483a6Sfdy //output of DecodeUnit 82d91483a6Sfdy val decodedInsts_u = Wire(new DecodedInst) 83d91483a6Sfdy val isVset_u = Wire(Bool()) 84d91483a6Sfdy 85d91483a6Sfdy //pre decode 86d91483a6Sfdy val simple = Module(new DecodeUnit) 87d91483a6Sfdy simple.io.enq.ctrlFlow := staticInst 88d91483a6Sfdy simple.io.enq.vtype := io.vtype 89d91483a6Sfdy simple.io.csrCtrl := io.csrCtrl 90d91483a6Sfdy decodedInsts_u := simple.io.deq.decodedInst 91d91483a6Sfdy isVset_u := simple.io.deq.decodedInst.isVset 92d91483a6Sfdy when(isVset_u) { 93d91483a6Sfdy when(dest === 0.U && src1 === 0.U) { 94a8db15d8Sfdy decodedInsts_u.fuOpType := VSETOpType.keepVl(simple.io.deq.decodedInst.fuOpType) 95d91483a6Sfdy }.elsewhen(src1 === 0.U) { 96a8db15d8Sfdy decodedInsts_u.fuOpType := VSETOpType.setVlmax(simple.io.deq.decodedInst.fuOpType) 97a8db15d8Sfdy } 98a8db15d8Sfdy when(io.vtype.illegal){ 99a8db15d8Sfdy decodedInsts_u.flushPipe := true.B 100d91483a6Sfdy } 101d91483a6Sfdy } 102d91483a6Sfdy //Type of uop Div 10317ec87f2SXuan Hu val typeOfDiv = decodedInsts_u.uopSplitType 104d91483a6Sfdy 105d91483a6Sfdy //LMUL 106d91483a6Sfdy val lmul = MuxLookup(simple.io.enq.vtype.vlmul, 1.U(4.W), Array( 107d91483a6Sfdy "b001".U -> 2.U, 108d91483a6Sfdy "b010".U -> 4.U, 109d91483a6Sfdy "b011".U -> 8.U 110d91483a6Sfdy )) 111d91483a6Sfdy val numOfUopVslide = MuxLookup(simple.io.enq.vtype.vlmul, 1.U(log2Up(maxUopSize+1).W), Array( 112d91483a6Sfdy "b001".U -> 3.U, 113d91483a6Sfdy "b010".U -> 10.U, 114d91483a6Sfdy "b011".U -> 36.U 115d91483a6Sfdy )) 116d91483a6Sfdy //number of uop 117d91483a6Sfdy val numOfUop = MuxLookup(typeOfDiv, 1.U(log2Up(maxUopSize+1).W), Array( 11817ec87f2SXuan Hu UopSplitType.VEC_0XV -> 2.U, 11917ec87f2SXuan Hu UopSplitType.DIR -> Mux(dest =/= 0.U, 2.U, 120d91483a6Sfdy Mux(src1 =/= 0.U, 1.U, 121d91483a6Sfdy Mux(VSETOpType.isVsetvl(decodedInsts_u.fuOpType), 2.U, 1.U))), 12217ec87f2SXuan Hu UopSplitType.VEC_VVV -> lmul, 12317ec87f2SXuan Hu UopSplitType.VEC_EXT2 -> lmul, 12417ec87f2SXuan Hu UopSplitType.VEC_EXT4 -> lmul, 12517ec87f2SXuan Hu UopSplitType.VEC_EXT8 -> lmul, 12617ec87f2SXuan Hu UopSplitType.VEC_VVM -> lmul, 12717ec87f2SXuan Hu UopSplitType.VEC_VXM -> (lmul +& 1.U), 12817ec87f2SXuan Hu UopSplitType.VEC_VXV -> (lmul +& 1.U), 12917ec87f2SXuan Hu UopSplitType.VEC_VVW -> Cat(lmul, 0.U(1.W)), // lmul <= 4 13017ec87f2SXuan Hu UopSplitType.VEC_WVW -> Cat(lmul, 0.U(1.W)), // lmul <= 4 13117ec87f2SXuan Hu UopSplitType.VEC_VXW -> Cat(lmul, 1.U(1.W)), // lmul <= 4 13217ec87f2SXuan Hu UopSplitType.VEC_WXW -> Cat(lmul, 1.U(1.W)), // lmul <= 4 13317ec87f2SXuan Hu UopSplitType.VEC_WVV -> Cat(lmul, 0.U(1.W)), // lmul <= 4 13417ec87f2SXuan Hu UopSplitType.VEC_WXV -> Cat(lmul, 1.U(1.W)), // lmul <= 4 13517ec87f2SXuan Hu UopSplitType.VEC_SLIDE1UP -> (lmul +& 1.U), 13617ec87f2SXuan Hu UopSplitType.VEC_FSLIDE1UP -> lmul, 13717ec87f2SXuan Hu UopSplitType.VEC_SLIDE1DOWN -> Cat(lmul, 0.U(1.W)), 13817ec87f2SXuan Hu UopSplitType.VEC_FSLIDE1DOWN -> (Cat(lmul, 0.U(1.W)) -1.U), 13917ec87f2SXuan Hu UopSplitType.VEC_VRED -> lmul, 14017ec87f2SXuan Hu UopSplitType.VEC_SLIDEUP -> (numOfUopVslide + 1.U), 14117ec87f2SXuan Hu UopSplitType.VEC_ISLIDEUP -> numOfUopVslide, 14217ec87f2SXuan Hu UopSplitType.VEC_SLIDEDOWN -> (numOfUopVslide + 1.U), 14317ec87f2SXuan Hu UopSplitType.VEC_ISLIDEDOWN -> numOfUopVslide, 14417ec87f2SXuan Hu UopSplitType.VEC_M0X -> (lmul +& 1.U), 14517ec87f2SXuan Hu UopSplitType.VEC_MVV -> (Cat(lmul, 0.U(1.W)) -1.U), 14617ec87f2SXuan Hu UopSplitType.VEC_M0X_VFIRST -> 2.U, 147d91483a6Sfdy )) 148d91483a6Sfdy 149d91483a6Sfdy //uop div up to maxUopSize 150d91483a6Sfdy val csBundle = Wire(Vec(maxUopSize, new DecodedInst)) 151d91483a6Sfdy csBundle.map { case dst => 152d91483a6Sfdy dst := decodedInsts_u 153d91483a6Sfdy dst.firstUop := false.B 154d91483a6Sfdy dst.lastUop := false.B 155d91483a6Sfdy } 156d91483a6Sfdy 157d91483a6Sfdy csBundle(0).firstUop := true.B 158d91483a6Sfdy csBundle(numOfUop - 1.U).lastUop := true.B 159d91483a6Sfdy 160d91483a6Sfdy switch(typeOfDiv) { 16117ec87f2SXuan Hu is(UopSplitType.DIR) { 162d91483a6Sfdy when(isVset_u) { 163d91483a6Sfdy when(dest =/= 0.U) { 164d91483a6Sfdy csBundle(0).fuType := FuType.vsetiwi.U 165a8db15d8Sfdy csBundle(0).fuOpType := VSETOpType.switchDest(decodedInsts_u.fuOpType) 166d91483a6Sfdy csBundle(0).flushPipe := false.B 167d91483a6Sfdy csBundle(0).rfWen := true.B 168d91483a6Sfdy csBundle(0).vecWen := false.B 169cb10a55bSXuan Hu csBundle(1).ldest := VCONFIG_IDX.U 170*fe60541bSXuan Hu csBundle(1).rfWen := false.B 171*fe60541bSXuan Hu csBundle(1).vecWen := true.B 172d91483a6Sfdy }.elsewhen(src1 =/= 0.U) { 173cb10a55bSXuan Hu csBundle(0).ldest := VCONFIG_IDX.U 174d91483a6Sfdy }.elsewhen(VSETOpType.isVsetvli(decodedInsts_u.fuOpType)) { 175d91483a6Sfdy csBundle(0).fuType := FuType.vsetfwf.U 176d91483a6Sfdy csBundle(0).srcType(0) := SrcType.vp 177cb10a55bSXuan Hu csBundle(0).lsrc(0) := VCONFIG_IDX.U 178d91483a6Sfdy }.elsewhen(VSETOpType.isVsetvl(decodedInsts_u.fuOpType)) { 179d91483a6Sfdy csBundle(0).srcType(0) := SrcType.reg 180d91483a6Sfdy csBundle(0).srcType(1) := SrcType.imm 181d91483a6Sfdy csBundle(0).lsrc(1) := 0.U 182d91483a6Sfdy csBundle(0).ldest := FP_TMP_REG_MV.U 183d91483a6Sfdy csBundle(0).fuType := FuType.i2f.U 184d91483a6Sfdy csBundle(0).rfWen := false.B 185d91483a6Sfdy csBundle(0).fpWen := true.B 186d91483a6Sfdy csBundle(0).vecWen := false.B 187d91483a6Sfdy csBundle(0).fpu.isAddSub := false.B 188d91483a6Sfdy csBundle(0).fpu.typeTagIn := FPU.D 189d91483a6Sfdy csBundle(0).fpu.typeTagOut := FPU.D 190d91483a6Sfdy csBundle(0).fpu.fromInt := true.B 191d91483a6Sfdy csBundle(0).fpu.wflags := false.B 192d91483a6Sfdy csBundle(0).fpu.fpWen := true.B 193d91483a6Sfdy csBundle(0).fpu.div := false.B 194d91483a6Sfdy csBundle(0).fpu.sqrt := false.B 195d91483a6Sfdy csBundle(0).fpu.fcvt := false.B 196d91483a6Sfdy csBundle(0).flushPipe := false.B 197d91483a6Sfdy csBundle(1).fuType := FuType.vsetfwf.U 198d91483a6Sfdy csBundle(1).srcType(0) := SrcType.vp 199cb10a55bSXuan Hu csBundle(1).lsrc(0) := VCONFIG_IDX.U 200d91483a6Sfdy csBundle(1).srcType(1) := SrcType.fp 201d91483a6Sfdy csBundle(1).lsrc(1) := FP_TMP_REG_MV.U 202cb10a55bSXuan Hu csBundle(1).ldest := VCONFIG_IDX.U 203d91483a6Sfdy } 204d91483a6Sfdy } 205d91483a6Sfdy } 20617ec87f2SXuan Hu is(UopSplitType.VEC_VVV) { 207d91483a6Sfdy for (i <- 0 until MAX_VLMUL) { 208d91483a6Sfdy csBundle(i).lsrc(0) := src1 + i.U 209d91483a6Sfdy csBundle(i).lsrc(1) := src2 + i.U 210d91483a6Sfdy csBundle(i).lsrc(2) := dest + i.U 211d91483a6Sfdy csBundle(i).ldest := dest + i.U 212d91483a6Sfdy csBundle(i).uopIdx := i.U 213d91483a6Sfdy } 214d91483a6Sfdy } 21517ec87f2SXuan Hu is(UopSplitType.VEC_EXT2) { 216d91483a6Sfdy for (i <- 0 until MAX_VLMUL / 2) { 217d91483a6Sfdy csBundle(2 * i).lsrc(1) := src2 + i.U 218d91483a6Sfdy csBundle(2 * i).lsrc(2) := dest + (2 * i).U 219d91483a6Sfdy csBundle(2 * i).ldest := dest + (2 * i).U 220d91483a6Sfdy csBundle(2 * i).uopIdx := (2 * i).U 221d91483a6Sfdy csBundle(2 * i + 1).lsrc(1) := src2 + i.U 222d91483a6Sfdy csBundle(2 * i + 1).lsrc(2) := dest + (2 * i + 1).U 223d91483a6Sfdy csBundle(2 * i + 1).ldest := dest + (2 * i + 1).U 224d91483a6Sfdy csBundle(2 * i + 1).uopIdx := (2 * i + 1).U 225d91483a6Sfdy } 226d91483a6Sfdy } 22717ec87f2SXuan Hu is(UopSplitType.VEC_EXT4) { 228d91483a6Sfdy for (i <- 0 until MAX_VLMUL / 4) { 229d91483a6Sfdy csBundle(4 * i).lsrc(1) := src2 + i.U 230d91483a6Sfdy csBundle(4 * i).lsrc(2) := dest + (4 * i).U 231d91483a6Sfdy csBundle(4 * i).ldest := dest + (4 * i).U 232d91483a6Sfdy csBundle(4 * i).uopIdx := (4 * i).U 233d91483a6Sfdy csBundle(4 * i + 1).lsrc(1) := src2 + i.U 234d91483a6Sfdy csBundle(4 * i + 1).lsrc(2) := dest + (4 * i + 1).U 235d91483a6Sfdy csBundle(4 * i + 1).ldest := dest + (4 * i + 1).U 236d91483a6Sfdy csBundle(4 * i + 1).uopIdx := (4 * i + 1).U 237d91483a6Sfdy csBundle(4 * i + 2).lsrc(1) := src2 + i.U 238d91483a6Sfdy csBundle(4 * i + 2).lsrc(2) := dest + (4 * i + 2).U 239d91483a6Sfdy csBundle(4 * i + 2).ldest := dest + (4 * i + 2).U 240d91483a6Sfdy csBundle(4 * i + 2).uopIdx := (4 * i + 2).U 241d91483a6Sfdy csBundle(4 * i + 3).lsrc(1) := src2 + i.U 242d91483a6Sfdy csBundle(4 * i + 3).lsrc(2) := dest + (4 * i + 3).U 243d91483a6Sfdy csBundle(4 * i + 3).ldest := dest + (4 * i + 3).U 244d91483a6Sfdy csBundle(4 * i + 3).uopIdx := (4 * i + 3).U 245d91483a6Sfdy } 246d91483a6Sfdy } 24717ec87f2SXuan Hu is(UopSplitType.VEC_EXT8) { 248d91483a6Sfdy for (i <- 0 until MAX_VLMUL) { 249d91483a6Sfdy csBundle(i).lsrc(1) := src2 250d91483a6Sfdy csBundle(i).lsrc(2) := dest + i.U 251d91483a6Sfdy csBundle(i).ldest := dest + i.U 252d91483a6Sfdy csBundle(i).uopIdx := i.U 253d91483a6Sfdy } 254d91483a6Sfdy } 25517ec87f2SXuan Hu is(UopSplitType.VEC_0XV) { 256d91483a6Sfdy /* 257d91483a6Sfdy FMV.D.X 258d91483a6Sfdy */ 259d91483a6Sfdy csBundle(0).srcType(0) := SrcType.reg 260d91483a6Sfdy csBundle(0).srcType(1) := SrcType.imm 261d91483a6Sfdy csBundle(0).lsrc(1) := 0.U 262d91483a6Sfdy csBundle(0).ldest := FP_TMP_REG_MV.U 263d91483a6Sfdy csBundle(0).fuType := FuType.i2f.U 264d91483a6Sfdy csBundle(0).rfWen := false.B 265d91483a6Sfdy csBundle(0).fpWen := true.B 266d91483a6Sfdy csBundle(0).vecWen := false.B 267d91483a6Sfdy csBundle(0).fpu.isAddSub := false.B 268d91483a6Sfdy csBundle(0).fpu.typeTagIn := FPU.D 269d91483a6Sfdy csBundle(0).fpu.typeTagOut := FPU.D 270d91483a6Sfdy csBundle(0).fpu.fromInt := true.B 271d91483a6Sfdy csBundle(0).fpu.wflags := false.B 272d91483a6Sfdy csBundle(0).fpu.fpWen := true.B 273d91483a6Sfdy csBundle(0).fpu.div := false.B 274d91483a6Sfdy csBundle(0).fpu.sqrt := false.B 275d91483a6Sfdy csBundle(0).fpu.fcvt := false.B 276d91483a6Sfdy /* 277d91483a6Sfdy vfmv.s.f 278d91483a6Sfdy */ 279d91483a6Sfdy csBundle(1).srcType(0) := SrcType.fp 280d91483a6Sfdy csBundle(1).srcType(1) := SrcType.vp 281d91483a6Sfdy csBundle(1).srcType(2) := SrcType.vp 282d91483a6Sfdy csBundle(1).lsrc(0) := FP_TMP_REG_MV.U 283d91483a6Sfdy csBundle(1).lsrc(1) := 0.U 284d91483a6Sfdy csBundle(1).lsrc(2) := dest 285d91483a6Sfdy csBundle(1).ldest := dest 286d91483a6Sfdy csBundle(1).fuType := FuType.vppu.U 28717ec87f2SXuan Hu csBundle(1).fuOpType := VpermType.dummy 288d91483a6Sfdy csBundle(1).rfWen := false.B 289d91483a6Sfdy csBundle(1).fpWen := false.B 290d91483a6Sfdy csBundle(1).vecWen := true.B 291d91483a6Sfdy } 29217ec87f2SXuan Hu is(UopSplitType.VEC_VXV) { 293d91483a6Sfdy /* 294d91483a6Sfdy FMV.D.X 295d91483a6Sfdy */ 296d91483a6Sfdy csBundle(0).srcType(0) := SrcType.reg 297d91483a6Sfdy csBundle(0).srcType(1) := SrcType.imm 298d91483a6Sfdy csBundle(0).lsrc(1) := 0.U 299d91483a6Sfdy csBundle(0).ldest := FP_TMP_REG_MV.U 300d91483a6Sfdy csBundle(0).fuType := FuType.i2f.U 301d91483a6Sfdy csBundle(0).rfWen := false.B 302d91483a6Sfdy csBundle(0).fpWen := true.B 303d91483a6Sfdy csBundle(0).vecWen := false.B 304d91483a6Sfdy csBundle(0).fpu.isAddSub := false.B 305d91483a6Sfdy csBundle(0).fpu.typeTagIn := FPU.D 306d91483a6Sfdy csBundle(0).fpu.typeTagOut := FPU.D 307d91483a6Sfdy csBundle(0).fpu.fromInt := true.B 308d91483a6Sfdy csBundle(0).fpu.wflags := false.B 309d91483a6Sfdy csBundle(0).fpu.fpWen := true.B 310d91483a6Sfdy csBundle(0).fpu.div := false.B 311d91483a6Sfdy csBundle(0).fpu.sqrt := false.B 312d91483a6Sfdy csBundle(0).fpu.fcvt := false.B 313d91483a6Sfdy /* 314d91483a6Sfdy LMUL 315d91483a6Sfdy */ 316d91483a6Sfdy for (i <- 0 until MAX_VLMUL) { 317d91483a6Sfdy csBundle(i + 1).srcType(0) := SrcType.fp 318d91483a6Sfdy csBundle(i + 1).lsrc(0) := FP_TMP_REG_MV.U 319d91483a6Sfdy csBundle(i + 1).lsrc(1) := src2 + i.U 320d91483a6Sfdy csBundle(i + 1).lsrc(2) := dest + i.U 321d91483a6Sfdy csBundle(i + 1).ldest := dest + i.U 322d91483a6Sfdy csBundle(i + 1).uopIdx := i.U 323d91483a6Sfdy } 324d91483a6Sfdy } 32517ec87f2SXuan Hu is(UopSplitType.VEC_VVW) { 326d91483a6Sfdy for (i <- 0 until MAX_VLMUL / 2) { 327d91483a6Sfdy csBundle(2 * i).lsrc(0) := src1 + i.U 328d91483a6Sfdy csBundle(2 * i).lsrc(1) := src2 + i.U 329d91483a6Sfdy csBundle(2 * i).lsrc(2) := dest + (2 * i).U 330d91483a6Sfdy csBundle(2 * i).ldest := dest + (2 * i).U 331d91483a6Sfdy csBundle(2 * i).uopIdx := (2 * i).U 332d91483a6Sfdy csBundle(2 * i + 1).lsrc(0) := src1 + i.U 333d91483a6Sfdy csBundle(2 * i + 1).lsrc(1) := src2 + i.U 334d91483a6Sfdy csBundle(2 * i + 1).lsrc(2) := dest + (2 * i + 1).U 335d91483a6Sfdy csBundle(2 * i + 1).ldest := dest + (2 * i + 1).U 336d91483a6Sfdy csBundle(2 * i + 1).uopIdx := (2 * i + 1).U 337d91483a6Sfdy } 338d91483a6Sfdy } 33917ec87f2SXuan Hu is(UopSplitType.VEC_WVW) { 340d91483a6Sfdy for (i <- 0 until MAX_VLMUL / 2) { 341d91483a6Sfdy csBundle(2 * i).lsrc(0) := src1 + i.U 342d91483a6Sfdy csBundle(2 * i).lsrc(1) := src2 + (2 * i).U 343d91483a6Sfdy csBundle(2 * i).lsrc(2) := dest + (2 * i).U 344d91483a6Sfdy csBundle(2 * i).ldest := dest + (2 * i).U 345d91483a6Sfdy csBundle(2 * i).uopIdx := (2 * i).U 346d91483a6Sfdy csBundle(2 * i + 1).lsrc(0) := src1 + i.U 347d91483a6Sfdy csBundle(2 * i + 1).lsrc(1) := src2 + (2 * i + 1).U 348d91483a6Sfdy csBundle(2 * i + 1).lsrc(2) := dest + (2 * i + 1).U 349d91483a6Sfdy csBundle(2 * i + 1).ldest := dest + (2 * i + 1).U 350d91483a6Sfdy csBundle(2 * i + 1).uopIdx := (2 * i + 1).U 351d91483a6Sfdy } 352d91483a6Sfdy } 35317ec87f2SXuan Hu is(UopSplitType.VEC_VXW) { 354d91483a6Sfdy /* 355d91483a6Sfdy FMV.D.X 356d91483a6Sfdy */ 357d91483a6Sfdy csBundle(0).srcType(0) := SrcType.reg 358d91483a6Sfdy csBundle(0).srcType(1) := SrcType.imm 359d91483a6Sfdy csBundle(0).lsrc(1) := 0.U 360d91483a6Sfdy csBundle(0).ldest := FP_TMP_REG_MV.U 361d91483a6Sfdy csBundle(0).fuType := FuType.i2f.U 362d91483a6Sfdy csBundle(0).rfWen := false.B 363d91483a6Sfdy csBundle(0).fpWen := true.B 364d91483a6Sfdy csBundle(0).vecWen := false.B 365d91483a6Sfdy csBundle(0).fpu.isAddSub := false.B 366d91483a6Sfdy csBundle(0).fpu.typeTagIn := FPU.D 367d91483a6Sfdy csBundle(0).fpu.typeTagOut := FPU.D 368d91483a6Sfdy csBundle(0).fpu.fromInt := true.B 369d91483a6Sfdy csBundle(0).fpu.wflags := false.B 370d91483a6Sfdy csBundle(0).fpu.fpWen := true.B 371d91483a6Sfdy csBundle(0).fpu.div := false.B 372d91483a6Sfdy csBundle(0).fpu.sqrt := false.B 373d91483a6Sfdy csBundle(0).fpu.fcvt := false.B 374d91483a6Sfdy 375d91483a6Sfdy for (i <- 0 until MAX_VLMUL / 2) { 376d91483a6Sfdy csBundle(2 * i + 1).srcType(0) := SrcType.fp 377d91483a6Sfdy csBundle(2 * i + 1).lsrc(0) := FP_TMP_REG_MV.U 378d91483a6Sfdy csBundle(2 * i + 1).lsrc(1) := src2 + i.U 379d91483a6Sfdy csBundle(2 * i + 1).lsrc(2) := dest + (2 * i).U 380d91483a6Sfdy csBundle(2 * i + 1).ldest := dest + (2 * i).U 381d91483a6Sfdy csBundle(2 * i + 1).uopIdx := (2 * i).U 382d91483a6Sfdy csBundle(2 * i + 2).srcType(0) := SrcType.fp 383d91483a6Sfdy csBundle(2 * i + 2).lsrc(0) := FP_TMP_REG_MV.U 384d91483a6Sfdy csBundle(2 * i + 2).lsrc(1) := src2 + i.U 385d91483a6Sfdy csBundle(2 * i + 2).lsrc(2) := dest + (2 * i + 1).U 386d91483a6Sfdy csBundle(2 * i + 2).ldest := dest + (2 * i + 1).U 387d91483a6Sfdy csBundle(2 * i + 2).uopIdx := (2 * i + 1).U 388d91483a6Sfdy } 389d91483a6Sfdy } 39017ec87f2SXuan Hu is(UopSplitType.VEC_WXW) { 391d91483a6Sfdy /* 392d91483a6Sfdy FMV.D.X 393d91483a6Sfdy */ 394d91483a6Sfdy csBundle(0).srcType(0) := SrcType.reg 395d91483a6Sfdy csBundle(0).srcType(1) := SrcType.imm 396d91483a6Sfdy csBundle(0).lsrc(1) := 0.U 397d91483a6Sfdy csBundle(0).ldest := FP_TMP_REG_MV.U 398d91483a6Sfdy csBundle(0).fuType := FuType.i2f.U 399d91483a6Sfdy csBundle(0).rfWen := false.B 400d91483a6Sfdy csBundle(0).fpWen := true.B 401d91483a6Sfdy csBundle(0).vecWen := false.B 402d91483a6Sfdy csBundle(0).fpu.isAddSub := false.B 403d91483a6Sfdy csBundle(0).fpu.typeTagIn := FPU.D 404d91483a6Sfdy csBundle(0).fpu.typeTagOut := FPU.D 405d91483a6Sfdy csBundle(0).fpu.fromInt := true.B 406d91483a6Sfdy csBundle(0).fpu.wflags := false.B 407d91483a6Sfdy csBundle(0).fpu.fpWen := true.B 408d91483a6Sfdy csBundle(0).fpu.div := false.B 409d91483a6Sfdy csBundle(0).fpu.sqrt := false.B 410d91483a6Sfdy csBundle(0).fpu.fcvt := false.B 411d91483a6Sfdy 412d91483a6Sfdy for (i <- 0 until MAX_VLMUL / 2) { 413d91483a6Sfdy csBundle(2 * i + 1).srcType(0) := SrcType.fp 414d91483a6Sfdy csBundle(2 * i + 1).lsrc(0) := FP_TMP_REG_MV.U 415d91483a6Sfdy csBundle(2 * i + 1).lsrc(1) := src2 + (2 * i).U 416d91483a6Sfdy csBundle(2 * i + 1).lsrc(2) := dest + (2 * i).U 417d91483a6Sfdy csBundle(2 * i + 1).ldest := dest + (2 * i).U 418d91483a6Sfdy csBundle(2 * i + 1).uopIdx := (2 * i).U 419d91483a6Sfdy csBundle(2 * i + 2).srcType(0) := SrcType.fp 420d91483a6Sfdy csBundle(2 * i + 2).lsrc(0) := FP_TMP_REG_MV.U 421d91483a6Sfdy csBundle(2 * i + 2).lsrc(1) := src2 + (2 * i + 1).U 422d91483a6Sfdy csBundle(2 * i + 2).lsrc(2) := dest + (2 * i + 1).U 423d91483a6Sfdy csBundle(2 * i + 2).ldest := dest + (2 * i + 1).U 424d91483a6Sfdy csBundle(2 * i + 2).uopIdx := (2 * i + 1).U 425d91483a6Sfdy } 426d91483a6Sfdy } 42717ec87f2SXuan Hu is(UopSplitType.VEC_WVV) { 428d91483a6Sfdy for (i <- 0 until MAX_VLMUL / 2) { 429d91483a6Sfdy 430d91483a6Sfdy csBundle(2 * i).lsrc(0) := src1 + i.U 431d91483a6Sfdy csBundle(2 * i).lsrc(1) := src2 + (2 * i).U 432d91483a6Sfdy csBundle(2 * i).lsrc(2) := dest + i.U 433d91483a6Sfdy csBundle(2 * i).ldest := VECTOR_TMP_REG_LMUL.U 434d91483a6Sfdy csBundle(2 * i).uopIdx := (2 * i).U 435d91483a6Sfdy csBundle(2 * i + 1).lsrc(0) := src1 + i.U 436d91483a6Sfdy csBundle(2 * i + 1).lsrc(1) := src2 + (2 * i + 1).U 437d91483a6Sfdy csBundle(2 * i + 1).lsrc(2) := VECTOR_TMP_REG_LMUL.U 438d91483a6Sfdy csBundle(2 * i + 1).ldest := dest + i.U 439d91483a6Sfdy csBundle(2 * i + 1).uopIdx := (2 * i + 1).U 440d91483a6Sfdy } 441d91483a6Sfdy } 44217ec87f2SXuan Hu is(UopSplitType.VEC_WXV) { 443d91483a6Sfdy /* 444d91483a6Sfdy FMV.D.X 445d91483a6Sfdy */ 446d91483a6Sfdy csBundle(0).srcType(0) := SrcType.reg 447d91483a6Sfdy csBundle(0).srcType(1) := SrcType.imm 448d91483a6Sfdy csBundle(0).lsrc(1) := 0.U 449d91483a6Sfdy csBundle(0).ldest := FP_TMP_REG_MV.U 450d91483a6Sfdy csBundle(0).fuType := FuType.i2f.U 451d91483a6Sfdy csBundle(0).rfWen := false.B 452d91483a6Sfdy csBundle(0).fpWen := true.B 453d91483a6Sfdy csBundle(0).vecWen := false.B 454d91483a6Sfdy csBundle(0).fpu.isAddSub := false.B 455d91483a6Sfdy csBundle(0).fpu.typeTagIn := FPU.D 456d91483a6Sfdy csBundle(0).fpu.typeTagOut := FPU.D 457d91483a6Sfdy csBundle(0).fpu.fromInt := true.B 458d91483a6Sfdy csBundle(0).fpu.wflags := false.B 459d91483a6Sfdy csBundle(0).fpu.fpWen := true.B 460d91483a6Sfdy csBundle(0).fpu.div := false.B 461d91483a6Sfdy csBundle(0).fpu.sqrt := false.B 462d91483a6Sfdy csBundle(0).fpu.fcvt := false.B 463d91483a6Sfdy 464d91483a6Sfdy for (i <- 0 until MAX_VLMUL / 2) { 465d91483a6Sfdy csBundle(2 * i + 1).srcType(0) := SrcType.fp 466d91483a6Sfdy csBundle(2 * i + 1).lsrc(0) := FP_TMP_REG_MV.U 467d91483a6Sfdy csBundle(2 * i + 1).lsrc(1) := src2 + (2 * i).U 468d91483a6Sfdy csBundle(2 * i + 1).lsrc(2) := dest + i.U 469d91483a6Sfdy csBundle(2 * i + 1).ldest := VECTOR_TMP_REG_LMUL.U 470d91483a6Sfdy csBundle(2 * i + 1).uopIdx := (2 * i).U 471d91483a6Sfdy csBundle(2 * i + 2).srcType(0) := SrcType.fp 472d91483a6Sfdy csBundle(2 * i + 2).lsrc(0) := FP_TMP_REG_MV.U 473d91483a6Sfdy csBundle(2 * i + 2).lsrc(1) := src2 + (2 * i + 1).U 474d91483a6Sfdy csBundle(2 * i + 2).lsrc(2) := VECTOR_TMP_REG_LMUL.U 475d91483a6Sfdy csBundle(2 * i + 2).ldest := dest + i.U 476d91483a6Sfdy csBundle(2 * i + 2).uopIdx := (2 * i + 1).U 477d91483a6Sfdy } 478d91483a6Sfdy } 47917ec87f2SXuan Hu is(UopSplitType.VEC_VVM) { 480d91483a6Sfdy csBundle(0).lsrc(2) := dest 481d91483a6Sfdy csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U 482d91483a6Sfdy csBundle(0).uopIdx := 0.U 483d91483a6Sfdy for(i <- 1 until MAX_VLMUL) { 484d91483a6Sfdy csBundle(i).lsrc(0) := src1 + i.U 485d91483a6Sfdy csBundle(i).lsrc(1) := src2 + i.U 486d91483a6Sfdy csBundle(i).lsrc(2) := VECTOR_TMP_REG_LMUL.U 487d91483a6Sfdy csBundle(i).ldest := VECTOR_TMP_REG_LMUL.U 488d91483a6Sfdy csBundle(i).uopIdx := i.U 489d91483a6Sfdy } 490d91483a6Sfdy csBundle(numOfUop - 1.U).ldest := dest 491d91483a6Sfdy } 49217ec87f2SXuan Hu is(UopSplitType.VEC_VXM) { 493d91483a6Sfdy /* 494d91483a6Sfdy FMV.D.X 495d91483a6Sfdy */ 496d91483a6Sfdy csBundle(0).srcType(0) := SrcType.reg 497d91483a6Sfdy csBundle(0).srcType(1) := SrcType.imm 498d91483a6Sfdy csBundle(0).lsrc(1) := 0.U 499d91483a6Sfdy csBundle(0).ldest := FP_TMP_REG_MV.U 500d91483a6Sfdy csBundle(0).fuType := FuType.i2f.U 501d91483a6Sfdy csBundle(0).rfWen := false.B 502d91483a6Sfdy csBundle(0).fpWen := true.B 503d91483a6Sfdy csBundle(0).vecWen := false.B 504d91483a6Sfdy csBundle(0).fpu.isAddSub := false.B 505d91483a6Sfdy csBundle(0).fpu.typeTagIn := FPU.D 506d91483a6Sfdy csBundle(0).fpu.typeTagOut := FPU.D 507d91483a6Sfdy csBundle(0).fpu.fromInt := true.B 508d91483a6Sfdy csBundle(0).fpu.wflags := false.B 509d91483a6Sfdy csBundle(0).fpu.fpWen := true.B 510d91483a6Sfdy csBundle(0).fpu.div := false.B 511d91483a6Sfdy csBundle(0).fpu.sqrt := false.B 512d91483a6Sfdy csBundle(0).fpu.fcvt := false.B 513d91483a6Sfdy //LMUL 514d91483a6Sfdy csBundle(1).srcType(0) := SrcType.fp 515d91483a6Sfdy csBundle(1).lsrc(0) := FP_TMP_REG_MV.U 516d91483a6Sfdy csBundle(1).lsrc(2) := dest 517d91483a6Sfdy csBundle(1).ldest := VECTOR_TMP_REG_LMUL.U 518d91483a6Sfdy csBundle(1).uopIdx := 0.U 519d91483a6Sfdy for (i <- 1 until MAX_VLMUL) { 520d91483a6Sfdy csBundle(i + 1).srcType(0) := SrcType.fp 521d91483a6Sfdy csBundle(i + 1).lsrc(0) := FP_TMP_REG_MV.U 522d91483a6Sfdy csBundle(i + 1).lsrc(1) := src2 + i.U 523d91483a6Sfdy csBundle(i + 1).lsrc(2) := VECTOR_TMP_REG_LMUL.U 524d91483a6Sfdy csBundle(i + 1).ldest := VECTOR_TMP_REG_LMUL.U 525d91483a6Sfdy csBundle(i + 1).uopIdx := i.U 526d91483a6Sfdy } 527d91483a6Sfdy csBundle(numOfUop - 1.U).ldest := dest 528d91483a6Sfdy } 52917ec87f2SXuan Hu is(UopSplitType.VEC_SLIDE1UP) { 530d91483a6Sfdy /* 531d91483a6Sfdy FMV.D.X 532d91483a6Sfdy */ 533d91483a6Sfdy csBundle(0).srcType(0) := SrcType.reg 534d91483a6Sfdy csBundle(0).srcType(1) := SrcType.imm 535d91483a6Sfdy csBundle(0).lsrc(1) := 0.U 536d91483a6Sfdy csBundle(0).ldest := FP_TMP_REG_MV.U 537d91483a6Sfdy csBundle(0).fuType := FuType.i2f.U 538d91483a6Sfdy csBundle(0).rfWen := false.B 539d91483a6Sfdy csBundle(0).fpWen := true.B 540d91483a6Sfdy csBundle(0).vecWen := false.B 541d91483a6Sfdy csBundle(0).fpu.isAddSub := false.B 542d91483a6Sfdy csBundle(0).fpu.typeTagIn := FPU.D 543d91483a6Sfdy csBundle(0).fpu.typeTagOut := FPU.D 544d91483a6Sfdy csBundle(0).fpu.fromInt := true.B 545d91483a6Sfdy csBundle(0).fpu.wflags := false.B 546d91483a6Sfdy csBundle(0).fpu.fpWen := true.B 547d91483a6Sfdy csBundle(0).fpu.div := false.B 548d91483a6Sfdy csBundle(0).fpu.sqrt := false.B 549d91483a6Sfdy csBundle(0).fpu.fcvt := false.B 550d91483a6Sfdy //LMUL 551d91483a6Sfdy csBundle(1).srcType(0) := SrcType.fp 552d91483a6Sfdy csBundle(1).lsrc(0) := FP_TMP_REG_MV.U 553d91483a6Sfdy csBundle(1).lsrc(2) := dest 554d91483a6Sfdy csBundle(1).ldest := dest 555d91483a6Sfdy csBundle(1).uopIdx := 0.U 556d91483a6Sfdy for (i <- 1 until MAX_VLMUL) { 557d91483a6Sfdy csBundle(i + 1).srcType(0) := SrcType.vp 558d91483a6Sfdy csBundle(i + 1).lsrc(0) := src2 + (i - 1).U 559d91483a6Sfdy csBundle(i + 1).lsrc(1) := src2 + i.U 560d91483a6Sfdy csBundle(i + 1).lsrc(2) := dest + i.U 561d91483a6Sfdy csBundle(i + 1).ldest := dest + i.U 562d91483a6Sfdy csBundle(i + 1).uopIdx := i.U 563d91483a6Sfdy } 564d91483a6Sfdy } 56517ec87f2SXuan Hu is(UopSplitType.VEC_FSLIDE1UP) { 566d91483a6Sfdy //LMUL 567d91483a6Sfdy csBundle(0).srcType(0) := SrcType.fp 568d91483a6Sfdy csBundle(0).lsrc(0) := src1 569d91483a6Sfdy csBundle(0).lsrc(1) := src2 570d91483a6Sfdy csBundle(0).lsrc(2) := dest 571d91483a6Sfdy csBundle(0).ldest := dest 572d91483a6Sfdy csBundle(0).uopIdx := 0.U 573d91483a6Sfdy for (i <- 1 until MAX_VLMUL) { 574d91483a6Sfdy csBundle(i).srcType(0) := SrcType.vp 575d91483a6Sfdy csBundle(i).lsrc(0) := src2 + (i - 1).U 576d91483a6Sfdy csBundle(i).lsrc(1) := src2 + i.U 577d91483a6Sfdy csBundle(i).lsrc(2) := dest + i.U 578d91483a6Sfdy csBundle(i).ldest := dest + i.U 579d91483a6Sfdy csBundle(i).uopIdx := i.U 580d91483a6Sfdy } 581d91483a6Sfdy } 58217ec87f2SXuan Hu is(UopSplitType.VEC_SLIDE1DOWN) { // lmul+lmul = 16 583d91483a6Sfdy /* 584d91483a6Sfdy FMV.D.X 585d91483a6Sfdy */ 586d91483a6Sfdy csBundle(0).srcType(0) := SrcType.reg 587d91483a6Sfdy csBundle(0).srcType(1) := SrcType.imm 588d91483a6Sfdy csBundle(0).lsrc(1) := 0.U 589d91483a6Sfdy csBundle(0).ldest := FP_TMP_REG_MV.U 590d91483a6Sfdy csBundle(0).fuType := FuType.i2f.U 591d91483a6Sfdy csBundle(0).rfWen := false.B 592d91483a6Sfdy csBundle(0).fpWen := true.B 593d91483a6Sfdy csBundle(0).vecWen := false.B 594d91483a6Sfdy csBundle(0).fpu.isAddSub := false.B 595d91483a6Sfdy csBundle(0).fpu.typeTagIn := FPU.D 596d91483a6Sfdy csBundle(0).fpu.typeTagOut := FPU.D 597d91483a6Sfdy csBundle(0).fpu.fromInt := true.B 598d91483a6Sfdy csBundle(0).fpu.wflags := false.B 599d91483a6Sfdy csBundle(0).fpu.fpWen := true.B 600d91483a6Sfdy csBundle(0).fpu.div := false.B 601d91483a6Sfdy csBundle(0).fpu.sqrt := false.B 602d91483a6Sfdy csBundle(0).fpu.fcvt := false.B 603d91483a6Sfdy //LMUL 604d91483a6Sfdy for (i <- 0 until MAX_VLMUL) { 605d91483a6Sfdy csBundle(2 * i + 1).srcType(0) := SrcType.vp 606d91483a6Sfdy csBundle(2 * i + 1).srcType(1) := SrcType.vp 607d91483a6Sfdy csBundle(2 * i + 1).lsrc(0) := src2 + (i+1).U 608d91483a6Sfdy csBundle(2 * i + 1).lsrc(1) := src2 + i.U 609d91483a6Sfdy csBundle(2 * i + 1).lsrc(2) := dest + i.U 610d91483a6Sfdy csBundle(2 * i + 1).ldest := VECTOR_TMP_REG_LMUL.U 611d91483a6Sfdy csBundle(2 * i + 1).uopIdx := (2 * i).U 612d91483a6Sfdy if (2 * i + 2 < MAX_VLMUL * 2 ){ 613d91483a6Sfdy csBundle(2 * i + 2).srcType(0) := SrcType.fp 614d91483a6Sfdy csBundle(2 * i + 2).lsrc(0) := FP_TMP_REG_MV.U 615d91483a6Sfdy // csBundle(2 * i + 2).lsrc(1) := src2 + i.U // DontCare 616d91483a6Sfdy csBundle(2 * i + 2).lsrc(2) := VECTOR_TMP_REG_LMUL.U 617d91483a6Sfdy csBundle(2 * i + 2).ldest := dest + i.U 618d91483a6Sfdy csBundle(2 * i + 2).uopIdx := (2 * i + 1).U 619d91483a6Sfdy } 620d91483a6Sfdy } 621d91483a6Sfdy csBundle(numOfUop - 1.U).srcType(0) := SrcType.fp 622d91483a6Sfdy csBundle(numOfUop - 1.U).lsrc(0) := FP_TMP_REG_MV.U 623d91483a6Sfdy csBundle(numOfUop - 1.U).ldest := dest + lmul - 1.U 624d91483a6Sfdy } 62517ec87f2SXuan Hu is(UopSplitType.VEC_FSLIDE1DOWN) { 626d91483a6Sfdy //LMUL 627d91483a6Sfdy for (i <- 0 until MAX_VLMUL) { 628d91483a6Sfdy csBundle(2 * i).srcType(0) := SrcType.vp 629d91483a6Sfdy csBundle(2 * i).srcType(1) := SrcType.vp 630d91483a6Sfdy csBundle(2 * i).lsrc(0) := src2 + (i+1).U 631d91483a6Sfdy csBundle(2 * i).lsrc(1) := src2 + i.U 632d91483a6Sfdy csBundle(2 * i).lsrc(2) := dest + i.U 633d91483a6Sfdy csBundle(2 * i).ldest := VECTOR_TMP_REG_LMUL.U 634d91483a6Sfdy csBundle(2 * i).uopIdx := (2 * i).U 635d91483a6Sfdy csBundle(2 * i + 1).srcType(0) := SrcType.fp 636d91483a6Sfdy csBundle(2 * i + 1).lsrc(0) := src1 637d91483a6Sfdy csBundle(2 * i + 1).lsrc(2) := VECTOR_TMP_REG_LMUL.U 638d91483a6Sfdy csBundle(2 * i + 1).ldest := dest + i.U 639d91483a6Sfdy csBundle(2 * i + 1).uopIdx := (2 * i + 1).U 640d91483a6Sfdy } 641d91483a6Sfdy csBundle(numOfUop - 1.U).srcType(0) := SrcType.fp 642d91483a6Sfdy csBundle(numOfUop - 1.U).lsrc(0) := src1 643d91483a6Sfdy csBundle(numOfUop - 1.U).ldest := dest + lmul - 1.U 644d91483a6Sfdy } 64517ec87f2SXuan Hu is(UopSplitType.VEC_VRED) { 646d91483a6Sfdy when(simple.io.enq.vtype.vlmul === "b001".U){ 647d91483a6Sfdy csBundle(0).srcType(2) := SrcType.DC 648d91483a6Sfdy csBundle(0).lsrc(0) := src2 + 1.U 649d91483a6Sfdy csBundle(0).lsrc(1) := src2 650d91483a6Sfdy csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U 651d91483a6Sfdy csBundle(0).uopIdx := 0.U 652d91483a6Sfdy } 653d91483a6Sfdy when(simple.io.enq.vtype.vlmul === "b010".U) { 654d91483a6Sfdy csBundle(0).srcType(2) := SrcType.DC 655d91483a6Sfdy csBundle(0).lsrc(0) := src2 + 1.U 656d91483a6Sfdy csBundle(0).lsrc(1) := src2 657d91483a6Sfdy csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U 658d91483a6Sfdy csBundle(0).uopIdx := 0.U 659d91483a6Sfdy 660d91483a6Sfdy csBundle(1).srcType(2) := SrcType.DC 661d91483a6Sfdy csBundle(1).lsrc(0) := src2 + 3.U 662d91483a6Sfdy csBundle(1).lsrc(1) := src2 + 2.U 663d91483a6Sfdy csBundle(1).ldest := (VECTOR_TMP_REG_LMUL+1).U 664d91483a6Sfdy csBundle(1).uopIdx := 1.U 665d91483a6Sfdy 666d91483a6Sfdy csBundle(2).srcType(2) := SrcType.DC 667d91483a6Sfdy csBundle(2).lsrc(0) := (VECTOR_TMP_REG_LMUL+1).U 668d91483a6Sfdy csBundle(2).lsrc(1) := VECTOR_TMP_REG_LMUL.U 669d91483a6Sfdy csBundle(2).ldest := (VECTOR_TMP_REG_LMUL+2).U 670d91483a6Sfdy csBundle(2).uopIdx := 2.U 671d91483a6Sfdy } 672d91483a6Sfdy when(simple.io.enq.vtype.vlmul === "b011".U) { 673d91483a6Sfdy for(i <- 0 until MAX_VLMUL){ 674d91483a6Sfdy if(i < MAX_VLMUL - MAX_VLMUL/2){ 675d91483a6Sfdy csBundle(i).lsrc(0) := src2 + (i * 2 + 1).U 676d91483a6Sfdy csBundle(i).lsrc(1) := src2 + (i * 2).U 677d91483a6Sfdy csBundle(i).ldest := (VECTOR_TMP_REG_LMUL + i).U 678d91483a6Sfdy } else if (i < MAX_VLMUL - MAX_VLMUL/4) { 679d91483a6Sfdy csBundle(i).lsrc(0) := (VECTOR_TMP_REG_LMUL + (i - MAX_VLMUL/2)*2 + 1).U 680d91483a6Sfdy csBundle(i).lsrc(1) := (VECTOR_TMP_REG_LMUL + (i - MAX_VLMUL/2)*2).U 681d91483a6Sfdy csBundle(i).ldest := (VECTOR_TMP_REG_LMUL + i).U 682d91483a6Sfdy }else if (i < MAX_VLMUL - MAX_VLMUL/8) { 683d91483a6Sfdy csBundle(6).lsrc(0) := (VECTOR_TMP_REG_LMUL + 5).U 684d91483a6Sfdy csBundle(6).lsrc(1) := (VECTOR_TMP_REG_LMUL + 4).U 685d91483a6Sfdy csBundle(6).ldest := (VECTOR_TMP_REG_LMUL + 6).U 686d91483a6Sfdy } 687d91483a6Sfdy csBundle(i).srcType(2) := SrcType.DC 688d91483a6Sfdy csBundle(i).uopIdx := i.U 689d91483a6Sfdy } 690d91483a6Sfdy } 691d91483a6Sfdy when (simple.io.enq.vtype.vlmul.orR()){ 692d91483a6Sfdy csBundle(numOfUop - 1.U).srcType(2) := SrcType.vp 693d91483a6Sfdy csBundle(numOfUop - 1.U).lsrc(0) := src1 694d91483a6Sfdy csBundle(numOfUop - 1.U).lsrc(1) := VECTOR_TMP_REG_LMUL.U + numOfUop - 2.U 695d91483a6Sfdy csBundle(numOfUop - 1.U).lsrc(2) := dest 696d91483a6Sfdy csBundle(numOfUop - 1.U).ldest := dest 697d91483a6Sfdy csBundle(numOfUop - 1.U).uopIdx := numOfUop - 1.U 698d91483a6Sfdy } 699d91483a6Sfdy } 700d91483a6Sfdy 70117ec87f2SXuan Hu is(UopSplitType.VEC_SLIDEUP) { 702d91483a6Sfdy // FMV.D.X 703d91483a6Sfdy csBundle(0).srcType(0) := SrcType.reg 704d91483a6Sfdy csBundle(0).srcType(1) := SrcType.imm 705d91483a6Sfdy csBundle(0).lsrc(1) := 0.U 706d91483a6Sfdy csBundle(0).ldest := FP_TMP_REG_MV.U 707d91483a6Sfdy csBundle(0).fuType := FuType.i2f.U 708d91483a6Sfdy csBundle(0).rfWen := false.B 709d91483a6Sfdy csBundle(0).fpWen := true.B 710d91483a6Sfdy csBundle(0).vecWen := false.B 711d91483a6Sfdy csBundle(0).fpu.isAddSub := false.B 712d91483a6Sfdy csBundle(0).fpu.typeTagIn := FPU.D 713d91483a6Sfdy csBundle(0).fpu.typeTagOut := FPU.D 714d91483a6Sfdy csBundle(0).fpu.fromInt := true.B 715d91483a6Sfdy csBundle(0).fpu.wflags := false.B 716d91483a6Sfdy csBundle(0).fpu.fpWen := true.B 717d91483a6Sfdy csBundle(0).fpu.div := false.B 718d91483a6Sfdy csBundle(0).fpu.sqrt := false.B 719d91483a6Sfdy csBundle(0).fpu.fcvt := false.B 720d91483a6Sfdy // LMUL 721d91483a6Sfdy for(i <- 0 until MAX_VLMUL) 722d91483a6Sfdy for(j <- 0 to i){ 723d91483a6Sfdy val old_vd = if (j==0) {dest + i.U} else (VECTOR_TMP_REG_LMUL+j-1).U 724d91483a6Sfdy val vd = if (j==i) {dest + i.U} else (VECTOR_TMP_REG_LMUL+j).U 725d91483a6Sfdy csBundle(i*(i+1)/2+j+1).srcType(0) := SrcType.fp 726d91483a6Sfdy csBundle(i*(i+1)/2+j+1).lsrc(0) := FP_TMP_REG_MV.U 727d91483a6Sfdy csBundle(i*(i+1)/2+j+1).lsrc(1) := src2 + j.U 728d91483a6Sfdy csBundle(i*(i+1)/2+j+1).lsrc(2) := old_vd 729d91483a6Sfdy csBundle(i*(i+1)/2+j+1).ldest := vd 730d91483a6Sfdy csBundle(i*(i+1)/2+j+1).uopIdx := (i*(i+1)/2+j).U 731d91483a6Sfdy } 732d91483a6Sfdy } 733d91483a6Sfdy 73417ec87f2SXuan Hu is(UopSplitType.VEC_ISLIDEUP) { 735d91483a6Sfdy // LMUL 736d91483a6Sfdy for(i <- 0 until MAX_VLMUL) 737d91483a6Sfdy for(j <- 0 to i){ 738d91483a6Sfdy val old_vd = if (j==0) {dest + i.U} else (VECTOR_TMP_REG_LMUL+j-1).U 739d91483a6Sfdy val vd = if (j==i) {dest + i.U} else (VECTOR_TMP_REG_LMUL+j).U 740d91483a6Sfdy csBundle(i*(i+1)/2+j).lsrc(1) := src2 + j.U 741d91483a6Sfdy csBundle(i*(i+1)/2+j).lsrc(2) := old_vd 742d91483a6Sfdy csBundle(i*(i+1)/2+j).ldest := vd 743d91483a6Sfdy csBundle(i*(i+1)/2+j).uopIdx := (i*(i+1)/2+j).U 744d91483a6Sfdy } 745d91483a6Sfdy } 746d91483a6Sfdy 74717ec87f2SXuan Hu is(UopSplitType.VEC_SLIDEDOWN) { 748d91483a6Sfdy // FMV.D.X 749d91483a6Sfdy csBundle(0).srcType(0) := SrcType.reg 750d91483a6Sfdy csBundle(0).srcType(1) := SrcType.imm 751d91483a6Sfdy csBundle(0).lsrc(1) := 0.U 752d91483a6Sfdy csBundle(0).ldest := FP_TMP_REG_MV.U 753d91483a6Sfdy csBundle(0).fuType := FuType.i2f.U 754d91483a6Sfdy csBundle(0).rfWen := false.B 755d91483a6Sfdy csBundle(0).fpWen := true.B 756d91483a6Sfdy csBundle(0).vecWen := false.B 757d91483a6Sfdy csBundle(0).fpu.isAddSub := false.B 758d91483a6Sfdy csBundle(0).fpu.typeTagIn := FPU.D 759d91483a6Sfdy csBundle(0).fpu.typeTagOut := FPU.D 760d91483a6Sfdy csBundle(0).fpu.fromInt := true.B 761d91483a6Sfdy csBundle(0).fpu.wflags := false.B 762d91483a6Sfdy csBundle(0).fpu.fpWen := true.B 763d91483a6Sfdy csBundle(0).fpu.div := false.B 764d91483a6Sfdy csBundle(0).fpu.sqrt := false.B 765d91483a6Sfdy csBundle(0).fpu.fcvt := false.B 766d91483a6Sfdy // LMUL 767d91483a6Sfdy for(i <- 0 until MAX_VLMUL) 768d91483a6Sfdy for(j <- (0 to i).reverse){ 769d91483a6Sfdy when(i.U < lmul){ 770d91483a6Sfdy val old_vd = if (j==0) {dest + lmul -1.U - i.U} else (VECTOR_TMP_REG_LMUL+j-1).U 771d91483a6Sfdy val vd = if (j==i) {dest + lmul - 1.U - i.U} else (VECTOR_TMP_REG_LMUL+j).U 772d91483a6Sfdy csBundle(numOfUop-(i*(i+1)/2+i-j+1).U).srcType(0) := SrcType.fp 773d91483a6Sfdy csBundle(numOfUop-(i*(i+1)/2+i-j+1).U).lsrc(0) := FP_TMP_REG_MV.U 774d91483a6Sfdy csBundle(numOfUop-(i*(i+1)/2+i-j+1).U).lsrc(1) := src2 + lmul - 1.U - j.U 775d91483a6Sfdy csBundle(numOfUop-(i*(i+1)/2+i-j+1).U).lsrc(2) := old_vd 776d91483a6Sfdy csBundle(numOfUop-(i*(i+1)/2+i-j+1).U).ldest := vd 777d91483a6Sfdy csBundle(numOfUop-(i*(i+1)/2+i-j+1).U).uopIdx := numOfUop-(i*(i+1)/2+i-j+2).U 778d91483a6Sfdy } 779d91483a6Sfdy } 780d91483a6Sfdy } 781d91483a6Sfdy 78217ec87f2SXuan Hu is(UopSplitType.VEC_ISLIDEDOWN) { 783d91483a6Sfdy // LMUL 784d91483a6Sfdy for(i <- 0 until MAX_VLMUL) 785d91483a6Sfdy for(j <- (0 to i).reverse){ 786d91483a6Sfdy when(i.U < lmul){ 787d91483a6Sfdy val old_vd = if (j==0) {dest + lmul -1.U - i.U} else (VECTOR_TMP_REG_LMUL+j-1).U 788d91483a6Sfdy val vd = if (j==i) {dest + lmul - 1.U - i.U} else (VECTOR_TMP_REG_LMUL+j).U 789d91483a6Sfdy csBundle(numOfUop-(i*(i+1)/2+i-j+1).U).lsrc(1) := src2 + lmul - 1.U - j.U 790d91483a6Sfdy csBundle(numOfUop-(i*(i+1)/2+i-j+1).U).lsrc(2) := old_vd 791d91483a6Sfdy csBundle(numOfUop-(i*(i+1)/2+i-j+1).U).ldest := vd 792d91483a6Sfdy csBundle(numOfUop-(i*(i+1)/2+i-j+1).U).uopIdx := numOfUop-(i*(i+1)/2+i-j+1).U 793d91483a6Sfdy } 794d91483a6Sfdy } 795d91483a6Sfdy } 796d91483a6Sfdy 79717ec87f2SXuan Hu is(UopSplitType.VEC_M0X) { 798d91483a6Sfdy // LMUL 799d91483a6Sfdy for (i <- 0 until MAX_VLMUL) { 800d91483a6Sfdy val srcType0 = if (i==0) SrcType.DC else SrcType.vp 801d91483a6Sfdy val ldest = (VECTOR_TMP_REG_LMUL + i).U 802d91483a6Sfdy csBundle(i).srcType(0) := srcType0 803d91483a6Sfdy csBundle(i).srcType(1) := SrcType.vp 804d91483a6Sfdy csBundle(i).rfWen := false.B 805d91483a6Sfdy csBundle(i).vecWen := true.B 806d91483a6Sfdy csBundle(i).lsrc(0) := (VECTOR_TMP_REG_LMUL + i - 1).U 807d91483a6Sfdy csBundle(i).lsrc(1) := src2 808d91483a6Sfdy // csBundle(i).lsrc(2) := dest + i.U DontCare 809d91483a6Sfdy csBundle(i).ldest := ldest 810d91483a6Sfdy csBundle(i).uopIdx := i.U 811d91483a6Sfdy } 812d91483a6Sfdy csBundle(lmul-1.U).vecWen := false.B 813d91483a6Sfdy csBundle(lmul-1.U).fpWen := true.B 814d91483a6Sfdy csBundle(lmul-1.U).ldest := FP_TMP_REG_MV.U 815d91483a6Sfdy // FMV_X_D 816d91483a6Sfdy csBundle(lmul).srcType(0) := SrcType.fp 817d91483a6Sfdy csBundle(lmul).srcType(1) := SrcType.imm 818d91483a6Sfdy csBundle(lmul).lsrc(0) := FP_TMP_REG_MV.U 819d91483a6Sfdy csBundle(lmul).lsrc(1) := 0.U 820d91483a6Sfdy csBundle(lmul).ldest := dest 821d91483a6Sfdy csBundle(lmul).fuType := FuType.fmisc.U 822d91483a6Sfdy csBundle(lmul).rfWen := true.B 823d91483a6Sfdy csBundle(lmul).fpWen := false.B 824d91483a6Sfdy csBundle(lmul).vecWen := false.B 825d91483a6Sfdy csBundle(lmul).fpu.isAddSub := false.B 826d91483a6Sfdy csBundle(lmul).fpu.typeTagIn := FPU.D 827d91483a6Sfdy csBundle(lmul).fpu.typeTagOut := FPU.D 828d91483a6Sfdy csBundle(lmul).fpu.fromInt := false.B 829d91483a6Sfdy csBundle(lmul).fpu.wflags := false.B 830d91483a6Sfdy csBundle(lmul).fpu.fpWen := false.B 831d91483a6Sfdy csBundle(lmul).fpu.div := false.B 832d91483a6Sfdy csBundle(lmul).fpu.sqrt := false.B 833d91483a6Sfdy csBundle(lmul).fpu.fcvt := false.B 834d91483a6Sfdy } 835d91483a6Sfdy 83617ec87f2SXuan Hu is(UopSplitType.VEC_MVV) { 837d91483a6Sfdy // LMUL 838d91483a6Sfdy for (i <- 0 until MAX_VLMUL) { 839d91483a6Sfdy val srcType0 = if (i==0) SrcType.DC else SrcType.vp 840d91483a6Sfdy csBundle(i*2+0).srcType(0) := srcType0 841d91483a6Sfdy csBundle(i*2+0).srcType(1) := SrcType.vp 842d91483a6Sfdy csBundle(i*2+0).lsrc(0) := (VECTOR_TMP_REG_LMUL + i - 1).U 843d91483a6Sfdy csBundle(i*2+0).lsrc(1) := src2 844d91483a6Sfdy csBundle(i*2+0).lsrc(2) := dest + i.U 845d91483a6Sfdy csBundle(i*2+0).ldest := dest + i.U 846d91483a6Sfdy csBundle(i*2+0).uopIdx := (i*2+0).U 847d91483a6Sfdy 848d91483a6Sfdy csBundle(i*2+1).srcType(0) := srcType0 849d91483a6Sfdy csBundle(i*2+1).srcType(1) := SrcType.vp 850d91483a6Sfdy csBundle(i*2+1).lsrc(0) := (VECTOR_TMP_REG_LMUL + i - 1).U 851d91483a6Sfdy csBundle(i*2+1).lsrc(1) := src2 852d91483a6Sfdy // csBundle(i).lsrc(2) := dest + i.U DontCare 853d91483a6Sfdy csBundle(i*2+1).ldest := (VECTOR_TMP_REG_LMUL + i).U 854d91483a6Sfdy csBundle(i*2+1).uopIdx := (i*2+1).U 855d91483a6Sfdy } 856d91483a6Sfdy } 857d91483a6Sfdy 85817ec87f2SXuan Hu is(UopSplitType.VEC_M0X_VFIRST) { 859d91483a6Sfdy // LMUL 860d91483a6Sfdy csBundle(0).rfWen := false.B 861d91483a6Sfdy csBundle(0).fpWen := true.B 862d91483a6Sfdy csBundle(0).ldest := FP_TMP_REG_MV.U 863d91483a6Sfdy // FMV_X_D 864d91483a6Sfdy csBundle(1).srcType(0) := SrcType.fp 865d91483a6Sfdy csBundle(1).srcType(1) := SrcType.imm 866d91483a6Sfdy csBundle(1).lsrc(0) := FP_TMP_REG_MV.U 867d91483a6Sfdy csBundle(1).lsrc(1) := 0.U 868d91483a6Sfdy csBundle(1).ldest := dest 869d91483a6Sfdy csBundle(1).fuType := FuType.fmisc.U 870d91483a6Sfdy csBundle(1).rfWen := true.B 871d91483a6Sfdy csBundle(1).fpWen := false.B 872d91483a6Sfdy csBundle(1).vecWen := false.B 873d91483a6Sfdy csBundle(1).fpu.isAddSub := false.B 874d91483a6Sfdy csBundle(1).fpu.typeTagIn := FPU.D 875d91483a6Sfdy csBundle(1).fpu.typeTagOut := FPU.D 876d91483a6Sfdy csBundle(1).fpu.fromInt := false.B 877d91483a6Sfdy csBundle(1).fpu.wflags := false.B 878d91483a6Sfdy csBundle(1).fpu.fpWen := false.B 879d91483a6Sfdy csBundle(1).fpu.div := false.B 880d91483a6Sfdy csBundle(1).fpu.sqrt := false.B 881d91483a6Sfdy csBundle(1).fpu.fcvt := false.B 882d91483a6Sfdy } 883d91483a6Sfdy } 884d91483a6Sfdy 885d91483a6Sfdy //uops dispatch 886d91483a6Sfdy val normal :: ext :: Nil = Enum(2) 887d91483a6Sfdy val stateReg = RegInit(normal) 888d91483a6Sfdy val uopRes = RegInit(0.U) 889d91483a6Sfdy 890d91483a6Sfdy //readyFromRename Counter 891d91483a6Sfdy val readyCounter = PriorityMuxDefault(io.readyFromRename.map(x => !x).zip((0 to (RenameWidth - 1)).map(_.U)), RenameWidth.U) 892d91483a6Sfdy 893d91483a6Sfdy switch(stateReg) { 894d91483a6Sfdy is(normal) { 895d91483a6Sfdy stateReg := Mux(io.validFromIBuf(0) && (numOfUop > readyCounter) && (readyCounter =/= 0.U), ext, normal) 896d91483a6Sfdy } 897d91483a6Sfdy is(ext) { 898d91483a6Sfdy stateReg := Mux(io.validFromIBuf(0) && (uopRes > readyCounter), ext, normal) 899d91483a6Sfdy } 900d91483a6Sfdy } 901d91483a6Sfdy 902d91483a6Sfdy val uopRes0 = Mux(stateReg === normal, numOfUop, uopRes) 903d91483a6Sfdy val uopResJudge = Mux(stateReg === normal, 904d91483a6Sfdy io.validFromIBuf(0) && (readyCounter =/= 0.U) && (uopRes0 > readyCounter), 905d91483a6Sfdy io.validFromIBuf(0) && (uopRes0 > readyCounter)) 906d91483a6Sfdy uopRes := Mux(uopResJudge, uopRes0 - readyCounter, 0.U) 907d91483a6Sfdy 908d91483a6Sfdy for(i <- 0 until RenameWidth) { 909d91483a6Sfdy decodedInsts(i) := MuxCase(csBundle(i), Seq( 910d91483a6Sfdy (stateReg === normal) -> csBundle(i), 911d91483a6Sfdy (stateReg === ext) -> Mux((i.U + numOfUop -uopRes) < maxUopSize.U, csBundle(i.U + numOfUop - uopRes), csBundle(maxUopSize - 1)) 912d91483a6Sfdy )) 913d91483a6Sfdy } 914d91483a6Sfdy 915d91483a6Sfdy 916d91483a6Sfdy val validSimple = Wire(Vec(DecodeWidth - 1, Bool())) 917d91483a6Sfdy validSimple.zip(io.validFromIBuf.drop(1).zip(io.isComplex)).map{ case (dst, (src1, src2)) => dst := src1 && !src2 } 918d91483a6Sfdy val notInf = Wire(Vec(DecodeWidth - 1, Bool())) 919d91483a6Sfdy notInf.zip(io.validFromIBuf.drop(1).zip(validSimple)).map{ case (dst, (src1, src2)) => dst := !src1 || src2 } 920d91483a6Sfdy val notInfVec = Wire(Vec(DecodeWidth, Bool())) 921d91483a6Sfdy notInfVec.drop(1).zip(0 until DecodeWidth - 1).map{ case (dst, i) => dst := Cat(notInf.take(i + 1)).andR} 922d91483a6Sfdy notInfVec(0) := true.B 923d91483a6Sfdy 924d91483a6Sfdy complexNum := Mux(io.validFromIBuf(0) && readyCounter.orR , 925d91483a6Sfdy Mux(uopRes0 > readyCounter, readyCounter, uopRes0), 926d91483a6Sfdy 1.U) 927d91483a6Sfdy validToRename.zipWithIndex.foreach{ 928d91483a6Sfdy case(dst, i) => 929d91483a6Sfdy dst := MuxCase(false.B, Seq( 930d91483a6Sfdy (io.validFromIBuf(0) && uopRes0 > readyCounter ) -> Mux(readyCounter > i.U, true.B, false.B), 931d91483a6Sfdy (io.validFromIBuf(0) && !(uopRes0 > readyCounter)) -> Mux(complexNum > i.U, true.B, validSimple(i.U - complexNum) && notInfVec(i.U - complexNum) && io.readyFromRename(i)), 932d91483a6Sfdy )) 933d91483a6Sfdy } 934d91483a6Sfdy 935d91483a6Sfdy readyToIBuf.zipWithIndex.foreach { 936d91483a6Sfdy case (dst, i) => 937d91483a6Sfdy dst := MuxCase(true.B, Seq( 938d91483a6Sfdy (io.validFromIBuf(0) && uopRes0 > readyCounter) -> false.B, 939d91483a6Sfdy (io.validFromIBuf(0) && !(uopRes0 > readyCounter)) -> (if (i==0) true.B else Mux(RenameWidth.U - complexNum >= i.U, notInfVec(i - 1) && validSimple(i - 1) && io.readyFromRename(i), false.B)), 940d91483a6Sfdy )) 941d91483a6Sfdy } 942d91483a6Sfdy 943d91483a6Sfdy io.deq.decodedInsts := decodedInsts 944d91483a6Sfdy io.deq.isVset := isVset_u 945d91483a6Sfdy io.deq.complexNum := complexNum 946d91483a6Sfdy io.deq.validToRename := validToRename 947d91483a6Sfdy io.deq.readyToIBuf := readyToIBuf 948d91483a6Sfdy 949d91483a6Sfdy} 950