xref: /XiangShan/src/main/scala/xiangshan/backend/decode/DecodeUnitComp.scala (revision e25c13fa2780b64c5c0511a27e35a31c4bf61b22)
1d91483a6Sfdy/***************************************************************************************
2d91483a6Sfdy  * Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3d91483a6Sfdy  * Copyright (c) 2020-2021 Peng Cheng Laboratory
4d91483a6Sfdy  *
5d91483a6Sfdy  * XiangShan is licensed under Mulan PSL v2.
6d91483a6Sfdy  * You can use this software according to the terms and conditions of the Mulan PSL v2.
7d91483a6Sfdy  * You may obtain a copy of Mulan PSL v2 at:
8d91483a6Sfdy  *          http://license.coscl.org.cn/MulanPSL2
9d91483a6Sfdy  *
10d91483a6Sfdy  * THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11d91483a6Sfdy  * EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12d91483a6Sfdy  * MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13d91483a6Sfdy  *
14d91483a6Sfdy  * See the Mulan PSL v2 for more details.
15d91483a6Sfdy  ***************************************************************************************/
16d91483a6Sfdy
17d91483a6Sfdypackage xiangshan.backend.decode
18d91483a6Sfdy
1983ba63b3SXuan Huimport org.chipsalliance.cde.config.Parameters
20d91483a6Sfdyimport chisel3._
21d91483a6Sfdyimport chisel3.util._
22d91483a6Sfdyimport freechips.rocketchip.rocket.Instructions
23d91483a6Sfdyimport freechips.rocketchip.util.uintToBitPat
24d91483a6Sfdyimport utils._
25d91483a6Sfdyimport utility._
26d91483a6Sfdyimport xiangshan.ExceptionNO.illegalInstr
27d91483a6Sfdyimport xiangshan._
28d91483a6Sfdyimport xiangshan.backend.fu.fpu.FPU
29d91483a6Sfdyimport xiangshan.backend.fu.FuType
30d91483a6Sfdyimport freechips.rocketchip.rocket.Instructions._
31d91483a6Sfdyimport xiangshan.backend.Bundles.{DecodedInst, StaticInst}
3298cfe81bSxgkiriimport xiangshan.backend.decode.isa.bitfield.XSInstBitFields
33582849ffSxiaofeibao-xjtuimport xiangshan.backend.fu.vector.Bundles.{VSew, VType, VLmul}
34d91483a6Sfdyimport yunsuan.VpermType
35d91483a6Sfdyimport scala.collection.Seq
36c4501a6fSZiyue-Zhangimport chisel3.util.experimental.decode.{QMCMinimizer, TruthTable, decoder}
37c4501a6fSZiyue-Zhang
38c4501a6fSZiyue-Zhangclass indexedLSUopTable(uopIdx:Int) extends Module {
39c4501a6fSZiyue-Zhang  val src = IO(Input(UInt(7.W)))
40c4501a6fSZiyue-Zhang  val outOffsetVs2 = IO(Output(UInt(3.W)))
41c4501a6fSZiyue-Zhang  val outOffsetVd = IO(Output(UInt(3.W)))
427e0af973Szhanglinjuan  val outIsFirstUopInVd = IO(Output(Bool()))
437e0af973Szhanglinjuan  def genCsBundle_VEC_INDEXED_LDST(lmul:Int, emul:Int, nfields:Int, uopIdx:Int): (Int, Int, Int) ={
44c4501a6fSZiyue-Zhang    if (lmul * nfields <= 8) {
45c4501a6fSZiyue-Zhang      for (k <-0 until nfields) {
46c4501a6fSZiyue-Zhang        if (lmul < emul) {    // lmul < emul, uop num is depend on emul * nf
47c4501a6fSZiyue-Zhang          var offset = 1 << (emul - lmul)
48de785770Szhanglinjuan          for (i <- 0 until (1 << emul)) {
49de785770Szhanglinjuan            if (uopIdx == k * (1 << emul) + i) {
507e0af973Szhanglinjuan              return (i, i / offset + k * (1 << lmul), if (i % offset == 0) 1 else 0)
51c4501a6fSZiyue-Zhang            }
52c4501a6fSZiyue-Zhang          }
53c379dcbeSZiyue-Zhang        } else {              // lmul > emul, uop num is depend on lmul * nf
54c4501a6fSZiyue-Zhang          var offset = 1 << (lmul - emul)
55de785770Szhanglinjuan          for (i <- 0 until (1 << lmul)) {
56de785770Szhanglinjuan            if (uopIdx == k * (1 << lmul) + i) {
577e0af973Szhanglinjuan              return (i / offset, i + k * (1 << lmul), 1)
58c4501a6fSZiyue-Zhang            }
59c4501a6fSZiyue-Zhang          }
60c4501a6fSZiyue-Zhang        }
61c4501a6fSZiyue-Zhang      }
62c4501a6fSZiyue-Zhang    }
637e0af973Szhanglinjuan    return (0, 0, 1)
64c4501a6fSZiyue-Zhang  }
65c4501a6fSZiyue-Zhang  // strided load/store
667e0af973Szhanglinjuan  var combVemulNf : Seq[(Int, Int, Int, Int, Int, Int)] = Seq()
67c4501a6fSZiyue-Zhang  for (emul <- 0 until 4) {
68c4501a6fSZiyue-Zhang    for (lmul <- 0 until 4) {
69c4501a6fSZiyue-Zhang      for (nf <- 0 until 8) {
703cb76c96Szhanglinjuan        var offset = genCsBundle_VEC_INDEXED_LDST(lmul, emul, nf+1, uopIdx)
71c4501a6fSZiyue-Zhang        var offsetVs2 = offset._1
72c4501a6fSZiyue-Zhang        var offsetVd = offset._2
737e0af973Szhanglinjuan        var isFirstUopInVd = offset._3
747e0af973Szhanglinjuan        combVemulNf :+= (emul, lmul, nf, isFirstUopInVd, offsetVs2, offsetVd)
75c4501a6fSZiyue-Zhang      }
76c4501a6fSZiyue-Zhang    }
77c4501a6fSZiyue-Zhang  }
78c4501a6fSZiyue-Zhang  val out = decoder(QMCMinimizer, src, TruthTable(combVemulNf.map {
797e0af973Szhanglinjuan    case (emul, lmul, nf, isFirstUopInVd, offsetVs2, offsetVd) =>
807e0af973Szhanglinjuan      (BitPat((emul << 5 | lmul << 3 | nf).U(7.W)), BitPat((isFirstUopInVd << 6 | offsetVs2 << 3 | offsetVd).U(7.W)))
817e0af973Szhanglinjuan  }, BitPat.N(7)))
82c4501a6fSZiyue-Zhang  outOffsetVs2 := out(5, 3)
83c4501a6fSZiyue-Zhang  outOffsetVd := out(2, 0)
847e0af973Szhanglinjuan  outIsFirstUopInVd := out(6).asBool
85c4501a6fSZiyue-Zhang}
86d91483a6Sfdy
87d91483a6Sfdytrait VectorConstants {
88d91483a6Sfdy  val MAX_VLMUL = 8
89d91483a6Sfdy  val FP_TMP_REG_MV = 32
90189ec863SzhanglyGit  val VECTOR_TMP_REG_LMUL = 33 // 33~47  ->  15
91c4501a6fSZiyue-Zhang  val MAX_INDEXED_LS_UOPNUM = 64
92d91483a6Sfdy}
93d91483a6Sfdy
94d91483a6Sfdyclass DecodeUnitCompIO(implicit p: Parameters) extends XSBundle {
95*e25c13faSXuan Hu  val redirect = Input(Bool())
96d91483a6Sfdy  val csrCtrl = Input(new CustomCSRCtrlIO)
97*e25c13faSXuan Hu  // When the first inst in decode vector is complex inst, pass it in
98*e25c13faSXuan Hu  val in = Flipped(DecoupledIO(new Bundle {
99*e25c13faSXuan Hu    val simpleDecodedInst = new DecodedInst
100*e25c13faSXuan Hu    val uopInfo = new UopInfo
101*e25c13faSXuan Hu  }))
102*e25c13faSXuan Hu  val out = new Bundle {
103*e25c13faSXuan Hu    val complexDecodedInsts = Vec(RenameWidth, DecoupledIO(new DecodedInst))
104*e25c13faSXuan Hu  }
105*e25c13faSXuan Hu  val complexNum = Output(UInt(3.W))
106d91483a6Sfdy}
10717ec87f2SXuan Hu
108d91483a6Sfdy/**
109d91483a6Sfdy  * @author zly
110d91483a6Sfdy  */
111d91483a6Sfdyclass DecodeUnitComp()(implicit p : Parameters) extends XSModule with DecodeUnitConstants with VectorConstants {
112d91483a6Sfdy  val io = IO(new DecodeUnitCompIO)
113d91483a6Sfdy
114*e25c13faSXuan Hu  // alias
115*e25c13faSXuan Hu  private val inReady = io.in.ready
116*e25c13faSXuan Hu  private val inValid = io.in.valid
117*e25c13faSXuan Hu  private val inDecodedInst = WireInit(io.in.bits.simpleDecodedInst)
118*e25c13faSXuan Hu  private val inUopInfo = io.in.bits.uopInfo
119*e25c13faSXuan Hu  private val outValids = io.out.complexDecodedInsts.map(_.valid)
120*e25c13faSXuan Hu  private val outReadys = io.out.complexDecodedInsts.map(_.ready)
121*e25c13faSXuan Hu  private val outDecodedInsts = io.out.complexDecodedInsts.map(_.bits)
122*e25c13faSXuan Hu  private val outComplexNum = io.complexNum
123*e25c13faSXuan Hu
124d91483a6Sfdy  val maxUopSize = MaxUopSize
125*e25c13faSXuan Hu  val latchedInst = RegEnable(inDecodedInst, inValid && inReady)
126*e25c13faSXuan Hu  val latchedUopInfo = RegEnable(inUopInfo, inValid && inReady)
127d91483a6Sfdy  //input bits
128*e25c13faSXuan Hu  private val instFields: XSInstBitFields = latchedInst.instr.asTypeOf(new XSInstBitFields)
129d91483a6Sfdy
130*e25c13faSXuan Hu  val src1 = Cat(0.U(1.W), instFields.RS1)
131*e25c13faSXuan Hu  val src2 = Cat(0.U(1.W), instFields.RS2)
132*e25c13faSXuan Hu  val dest = Cat(0.U(1.W), instFields.RD)
1337f9f0a79SzhanglyGit
134*e25c13faSXuan Hu  val nf    = instFields.NF
135*e25c13faSXuan Hu  val width = instFields.WIDTH(1, 0)
136d91483a6Sfdy
137d91483a6Sfdy  //output of DecodeUnit
138*e25c13faSXuan Hu  val numOfUop = Wire(UInt(log2Up(maxUopSize).W))
139*e25c13faSXuan Hu  val numOfWB = Wire(UInt(log2Up(maxUopSize).W))
1407f9f0a79SzhanglyGit  val lmul = Wire(UInt(4.W))
141189ec863SzhanglyGit  val isVsetSimple = Wire(Bool())
142d91483a6Sfdy
143c4501a6fSZiyue-Zhang  val indexedLSRegOffset = Seq.tabulate(MAX_INDEXED_LS_UOPNUM)(i => Module(new indexedLSUopTable(i)))
144c4501a6fSZiyue-Zhang  indexedLSRegOffset.map(_.src := 0.U)
145c4501a6fSZiyue-Zhang
146d91483a6Sfdy  //pre decode
147*e25c13faSXuan Hu  lmul := latchedUopInfo.lmul
148*e25c13faSXuan Hu  isVsetSimple := latchedInst.isVset
149*e25c13faSXuan Hu  val vlmulReg = latchedInst.vpu.vlmul
150*e25c13faSXuan Hu  val vsewReg = latchedInst.vpu.vsew
151189ec863SzhanglyGit  when(isVsetSimple) {
152d91483a6Sfdy    when(dest === 0.U && src1 === 0.U) {
153*e25c13faSXuan Hu      latchedInst.fuOpType := VSETOpType.keepVl(inDecodedInst.fuOpType)
154d91483a6Sfdy    }.elsewhen(src1 === 0.U) {
155*e25c13faSXuan Hu      latchedInst.fuOpType := VSETOpType.setVlmax(inDecodedInst.fuOpType)
156a8db15d8Sfdy    }
157*e25c13faSXuan Hu    when(inDecodedInst.vpu.vill) {
158*e25c13faSXuan Hu      latchedInst.exceptionVec(ExceptionNO.illegalInstr) := true.B
159d91483a6Sfdy    }
160d91483a6Sfdy  }
161d91483a6Sfdy  //Type of uop Div
162*e25c13faSXuan Hu  val typeOfSplit = latchedInst.uopSplitType
163*e25c13faSXuan Hu  val src1Type = latchedInst.srcType(0)
164d6059658SZiyue Zhang  val src1IsImm = src1Type === SrcType.imm
165d91483a6Sfdy
166*e25c13faSXuan Hu  numOfUop := latchedUopInfo.numOfUop
167*e25c13faSXuan Hu  numOfWB := latchedUopInfo.numOfWB
168*e25c13faSXuan Hu
169*e25c13faSXuan Hu  //uops dispatch
170*e25c13faSXuan Hu  val s_idle :: s_active :: Nil = Enum(2)
171*e25c13faSXuan Hu  val state = RegInit(s_idle)
172*e25c13faSXuan Hu  val stateNext = WireDefault(state)
173*e25c13faSXuan Hu  val numDecodedUop = RegInit(0.U(log2Up(maxUopSize).W))
174*e25c13faSXuan Hu  val uopRes = RegInit(0.U(log2Up(maxUopSize).W))
175*e25c13faSXuan Hu  val uopResNext = WireInit(uopRes)
1767f9f0a79SzhanglyGit
177d91483a6Sfdy  //uop div up to maxUopSize
178d91483a6Sfdy  val csBundle = Wire(Vec(maxUopSize, new DecodedInst))
179*e25c13faSXuan Hu  csBundle.foreach { case dst =>
180*e25c13faSXuan Hu    dst := latchedInst
181*e25c13faSXuan Hu    dst.numUops := latchedUopInfo.numOfUop
182*e25c13faSXuan Hu    dst.numWB := latchedUopInfo.numOfWB
183d91483a6Sfdy    dst.firstUop := false.B
184d91483a6Sfdy    dst.lastUop := false.B
185d91483a6Sfdy  }
186d91483a6Sfdy
187d91483a6Sfdy  csBundle(0).firstUop := true.B
188d91483a6Sfdy  csBundle(numOfUop - 1.U).lastUop := true.B
189d91483a6Sfdy
190189ec863SzhanglyGit  switch(typeOfSplit) {
191*e25c13faSXuan Hu    is(UopSplitType.VSET) {
192189ec863SzhanglyGit      when(isVsetSimple) {
193d91483a6Sfdy        when(dest =/= 0.U) {
194d91483a6Sfdy          csBundle(0).fuType := FuType.vsetiwi.U
195*e25c13faSXuan Hu          csBundle(0).fuOpType := VSETOpType.switchDest(latchedInst.fuOpType)
196d91483a6Sfdy          csBundle(0).flushPipe := false.B
197d91483a6Sfdy          csBundle(0).rfWen := true.B
198d91483a6Sfdy          csBundle(0).vecWen := false.B
199cb10a55bSXuan Hu          csBundle(1).ldest := VCONFIG_IDX.U
200fe60541bSXuan Hu          csBundle(1).rfWen := false.B
201fe60541bSXuan Hu          csBundle(1).vecWen := true.B
202d91483a6Sfdy        }.elsewhen(src1 =/= 0.U) {
203cb10a55bSXuan Hu          csBundle(0).ldest := VCONFIG_IDX.U
204*e25c13faSXuan Hu        }.elsewhen(VSETOpType.isVsetvli(latchedInst.fuOpType)) {
205d91483a6Sfdy          csBundle(0).fuType := FuType.vsetfwf.U
206d91483a6Sfdy          csBundle(0).srcType(0) := SrcType.vp
207cb10a55bSXuan Hu          csBundle(0).lsrc(0) := VCONFIG_IDX.U
208*e25c13faSXuan Hu        }.elsewhen(VSETOpType.isVsetvl(latchedInst.fuOpType)) {
209d91483a6Sfdy          csBundle(0).srcType(0) := SrcType.reg
210d91483a6Sfdy          csBundle(0).srcType(1) := SrcType.imm
211d91483a6Sfdy          csBundle(0).lsrc(1) := 0.U
212d91483a6Sfdy          csBundle(0).ldest := FP_TMP_REG_MV.U
213d91483a6Sfdy          csBundle(0).fuType := FuType.i2f.U
214d91483a6Sfdy          csBundle(0).rfWen := false.B
215d91483a6Sfdy          csBundle(0).fpWen := true.B
216d91483a6Sfdy          csBundle(0).vecWen := false.B
217d91483a6Sfdy          csBundle(0).fpu.isAddSub := false.B
218d91483a6Sfdy          csBundle(0).fpu.typeTagIn := FPU.D
219d91483a6Sfdy          csBundle(0).fpu.typeTagOut := FPU.D
220d91483a6Sfdy          csBundle(0).fpu.fromInt := true.B
221d91483a6Sfdy          csBundle(0).fpu.wflags := false.B
222d91483a6Sfdy          csBundle(0).fpu.fpWen := true.B
223d91483a6Sfdy          csBundle(0).fpu.div := false.B
224d91483a6Sfdy          csBundle(0).fpu.sqrt := false.B
225d91483a6Sfdy          csBundle(0).fpu.fcvt := false.B
226d91483a6Sfdy          csBundle(0).flushPipe := false.B
227d91483a6Sfdy          csBundle(1).fuType := FuType.vsetfwf.U
228d91483a6Sfdy          csBundle(1).srcType(0) := SrcType.vp
229cb10a55bSXuan Hu          csBundle(1).lsrc(0) := VCONFIG_IDX.U
230d91483a6Sfdy          csBundle(1).srcType(1) := SrcType.fp
231d91483a6Sfdy          csBundle(1).lsrc(1) := FP_TMP_REG_MV.U
232cb10a55bSXuan Hu          csBundle(1).ldest := VCONFIG_IDX.U
233d91483a6Sfdy        }
234d91483a6Sfdy      }
235d91483a6Sfdy    }
23617ec87f2SXuan Hu    is(UopSplitType.VEC_VVV) {
237d91483a6Sfdy      for (i <- 0 until MAX_VLMUL) {
238d91483a6Sfdy        csBundle(i).lsrc(0) := src1 + i.U
239d91483a6Sfdy        csBundle(i).lsrc(1) := src2 + i.U
240d91483a6Sfdy        csBundle(i).lsrc(2) := dest + i.U
241d91483a6Sfdy        csBundle(i).ldest := dest + i.U
242d91483a6Sfdy        csBundle(i).uopIdx := i.U
243d91483a6Sfdy      }
244d91483a6Sfdy    }
245684d7aceSxiaofeibao-xjtu    is(UopSplitType.VEC_VFV) {
246684d7aceSxiaofeibao-xjtu      for (i <- 0 until MAX_VLMUL) {
247684d7aceSxiaofeibao-xjtu        csBundle(i).lsrc(1) := src2 + i.U
248684d7aceSxiaofeibao-xjtu        csBundle(i).lsrc(2) := dest + i.U
249684d7aceSxiaofeibao-xjtu        csBundle(i).ldest := dest + i.U
250684d7aceSxiaofeibao-xjtu        csBundle(i).uopIdx := i.U
251684d7aceSxiaofeibao-xjtu      }
252684d7aceSxiaofeibao-xjtu    }
25317ec87f2SXuan Hu    is(UopSplitType.VEC_EXT2) {
254d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 2) {
255d91483a6Sfdy        csBundle(2 * i).lsrc(1) := src2 + i.U
256d91483a6Sfdy        csBundle(2 * i).lsrc(2) := dest + (2 * i).U
257d91483a6Sfdy        csBundle(2 * i).ldest := dest + (2 * i).U
258d91483a6Sfdy        csBundle(2 * i).uopIdx := (2 * i).U
259d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + i.U
260d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := dest + (2 * i + 1).U
261d91483a6Sfdy        csBundle(2 * i + 1).ldest := dest + (2 * i + 1).U
262d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i + 1).U
263d91483a6Sfdy      }
264d91483a6Sfdy    }
26517ec87f2SXuan Hu    is(UopSplitType.VEC_EXT4) {
266d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 4) {
267d91483a6Sfdy        csBundle(4 * i).lsrc(1) := src2 + i.U
268d91483a6Sfdy        csBundle(4 * i).lsrc(2) := dest + (4 * i).U
269d91483a6Sfdy        csBundle(4 * i).ldest := dest + (4 * i).U
270d91483a6Sfdy        csBundle(4 * i).uopIdx := (4 * i).U
271d91483a6Sfdy        csBundle(4 * i + 1).lsrc(1) := src2 + i.U
272d91483a6Sfdy        csBundle(4 * i + 1).lsrc(2) := dest + (4 * i + 1).U
273d91483a6Sfdy        csBundle(4 * i + 1).ldest := dest + (4 * i + 1).U
274d91483a6Sfdy        csBundle(4 * i + 1).uopIdx := (4 * i + 1).U
275d91483a6Sfdy        csBundle(4 * i + 2).lsrc(1) := src2 + i.U
276d91483a6Sfdy        csBundle(4 * i + 2).lsrc(2) := dest + (4 * i + 2).U
277d91483a6Sfdy        csBundle(4 * i + 2).ldest := dest + (4 * i + 2).U
278d91483a6Sfdy        csBundle(4 * i + 2).uopIdx := (4 * i + 2).U
279d91483a6Sfdy        csBundle(4 * i + 3).lsrc(1) := src2 + i.U
280d91483a6Sfdy        csBundle(4 * i + 3).lsrc(2) := dest + (4 * i + 3).U
281d91483a6Sfdy        csBundle(4 * i + 3).ldest := dest + (4 * i + 3).U
282d91483a6Sfdy        csBundle(4 * i + 3).uopIdx := (4 * i + 3).U
283d91483a6Sfdy      }
284d91483a6Sfdy    }
28517ec87f2SXuan Hu    is(UopSplitType.VEC_EXT8) {
286d91483a6Sfdy      for (i <- 0 until MAX_VLMUL) {
287d91483a6Sfdy        csBundle(i).lsrc(1) := src2
288d91483a6Sfdy        csBundle(i).lsrc(2) := dest + i.U
289d91483a6Sfdy        csBundle(i).ldest := dest + i.U
290d91483a6Sfdy        csBundle(i).uopIdx := i.U
291d91483a6Sfdy      }
292d91483a6Sfdy    }
29317ec87f2SXuan Hu    is(UopSplitType.VEC_0XV) {
294d91483a6Sfdy      /*
295d91483a6Sfdy      FMV.D.X
296d91483a6Sfdy       */
297d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
298d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
299d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
300d91483a6Sfdy      csBundle(0).ldest := FP_TMP_REG_MV.U
301d91483a6Sfdy      csBundle(0).fuType := FuType.i2f.U
302d91483a6Sfdy      csBundle(0).rfWen := false.B
303d91483a6Sfdy      csBundle(0).fpWen := true.B
304d91483a6Sfdy      csBundle(0).vecWen := false.B
305d91483a6Sfdy      csBundle(0).fpu.isAddSub := false.B
306d91483a6Sfdy      csBundle(0).fpu.typeTagIn := FPU.D
307d91483a6Sfdy      csBundle(0).fpu.typeTagOut := FPU.D
308d91483a6Sfdy      csBundle(0).fpu.fromInt := true.B
309d91483a6Sfdy      csBundle(0).fpu.wflags := false.B
310d91483a6Sfdy      csBundle(0).fpu.fpWen := true.B
311d91483a6Sfdy      csBundle(0).fpu.div := false.B
312d91483a6Sfdy      csBundle(0).fpu.sqrt := false.B
313d91483a6Sfdy      csBundle(0).fpu.fcvt := false.B
314d91483a6Sfdy      /*
315d91483a6Sfdy      vfmv.s.f
316d91483a6Sfdy       */
317d91483a6Sfdy      csBundle(1).srcType(0) := SrcType.fp
318d91483a6Sfdy      csBundle(1).srcType(1) := SrcType.vp
319d91483a6Sfdy      csBundle(1).srcType(2) := SrcType.vp
320d91483a6Sfdy      csBundle(1).lsrc(0) := FP_TMP_REG_MV.U
321d91483a6Sfdy      csBundle(1).lsrc(1) := 0.U
322d91483a6Sfdy      csBundle(1).lsrc(2) := dest
323d91483a6Sfdy      csBundle(1).ldest := dest
324d91483a6Sfdy      csBundle(1).fuType := FuType.vppu.U
32517ec87f2SXuan Hu      csBundle(1).fuOpType := VpermType.dummy
326d91483a6Sfdy      csBundle(1).rfWen := false.B
327d91483a6Sfdy      csBundle(1).fpWen := false.B
328d91483a6Sfdy      csBundle(1).vecWen := true.B
329d91483a6Sfdy    }
33017ec87f2SXuan Hu    is(UopSplitType.VEC_VXV) {
331d91483a6Sfdy      /*
332d6059658SZiyue Zhang      i to vector move
333d91483a6Sfdy       */
334d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
335d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
336d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
337fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
338fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
339d6059658SZiyue Zhang      csBundle(0).fuOpType := Cat(Mux(src1IsImm, IF2VectorType.imm2vector(2, 0), IF2VectorType.i2vector(2, 0)), vsewReg)
340fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
341fc85f18fSZiyue Zhang      /*
342fc85f18fSZiyue Zhang      LMUL
343fc85f18fSZiyue Zhang       */
344fc85f18fSZiyue Zhang      for (i <- 0 until MAX_VLMUL) {
345fc85f18fSZiyue Zhang        csBundle(i + 1).srcType(0) := SrcType.vp
346fc85f18fSZiyue Zhang        csBundle(i + 1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
347d91483a6Sfdy        csBundle(i + 1).lsrc(1) := src2 + i.U
348d91483a6Sfdy        csBundle(i + 1).lsrc(2) := dest + i.U
349d91483a6Sfdy        csBundle(i + 1).ldest := dest + i.U
350d91483a6Sfdy        csBundle(i + 1).uopIdx := i.U
351d91483a6Sfdy      }
352d91483a6Sfdy    }
35317ec87f2SXuan Hu    is(UopSplitType.VEC_VVW) {
354d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 2) {
355d91483a6Sfdy        csBundle(2 * i).lsrc(0) := src1 + i.U
356d91483a6Sfdy        csBundle(2 * i).lsrc(1) := src2 + i.U
357d91483a6Sfdy        csBundle(2 * i).lsrc(2) := dest + (2 * i).U
358d91483a6Sfdy        csBundle(2 * i).ldest := dest + (2 * i).U
359d91483a6Sfdy        csBundle(2 * i).uopIdx := (2 * i).U
360d91483a6Sfdy        csBundle(2 * i + 1).lsrc(0) := src1 + i.U
361d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + i.U
362d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := dest + (2 * i + 1).U
363d91483a6Sfdy        csBundle(2 * i + 1).ldest := dest + (2 * i + 1).U
364d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i + 1).U
365d91483a6Sfdy      }
366d91483a6Sfdy    }
3673748ec56Sxiaofeibao-xjtu    is(UopSplitType.VEC_VFW) {
3683748ec56Sxiaofeibao-xjtu      for (i <- 0 until MAX_VLMUL / 2) {
3693748ec56Sxiaofeibao-xjtu        csBundle(2 * i).lsrc(0) := src1
3703748ec56Sxiaofeibao-xjtu        csBundle(2 * i).lsrc(1) := src2 + i.U
3713748ec56Sxiaofeibao-xjtu        csBundle(2 * i).lsrc(2) := dest + (2 * i).U
3723748ec56Sxiaofeibao-xjtu        csBundle(2 * i).ldest := dest + (2 * i).U
3733748ec56Sxiaofeibao-xjtu        csBundle(2 * i).uopIdx := (2 * i).U
3743748ec56Sxiaofeibao-xjtu        csBundle(2 * i + 1).lsrc(0) := src1
3753748ec56Sxiaofeibao-xjtu        csBundle(2 * i + 1).lsrc(1) := src2 + i.U
3763748ec56Sxiaofeibao-xjtu        csBundle(2 * i + 1).lsrc(2) := dest + (2 * i + 1).U
3773748ec56Sxiaofeibao-xjtu        csBundle(2 * i + 1).ldest := dest + (2 * i + 1).U
3783748ec56Sxiaofeibao-xjtu        csBundle(2 * i + 1).uopIdx := (2 * i + 1).U
3793748ec56Sxiaofeibao-xjtu      }
3803748ec56Sxiaofeibao-xjtu    }
38117ec87f2SXuan Hu    is(UopSplitType.VEC_WVW) {
382d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 2) {
383d91483a6Sfdy        csBundle(2 * i).lsrc(0) := src1 + i.U
384d91483a6Sfdy        csBundle(2 * i).lsrc(1) := src2 + (2 * i).U
385d91483a6Sfdy        csBundle(2 * i).lsrc(2) := dest + (2 * i).U
386d91483a6Sfdy        csBundle(2 * i).ldest := dest + (2 * i).U
387d91483a6Sfdy        csBundle(2 * i).uopIdx := (2 * i).U
388d91483a6Sfdy        csBundle(2 * i + 1).lsrc(0) := src1 + i.U
389d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + (2 * i + 1).U
390d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := dest + (2 * i + 1).U
391d91483a6Sfdy        csBundle(2 * i + 1).ldest := dest + (2 * i + 1).U
392d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i + 1).U
393d91483a6Sfdy      }
394d91483a6Sfdy    }
39517ec87f2SXuan Hu    is(UopSplitType.VEC_VXW) {
396d91483a6Sfdy      /*
397d6059658SZiyue Zhang      i to vector move
398d91483a6Sfdy       */
399d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
400d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
401d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
402fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
403fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
404fc85f18fSZiyue Zhang      csBundle(0).fuOpType := vsewReg
405fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
406d91483a6Sfdy
407d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 2) {
408fc85f18fSZiyue Zhang        csBundle(2 * i + 1).srcType(0) := SrcType.vp
409fc85f18fSZiyue Zhang        csBundle(2 * i + 1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
410d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + i.U
411d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := dest + (2 * i).U
412d91483a6Sfdy        csBundle(2 * i + 1).ldest := dest + (2 * i).U
413d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i).U
414fc85f18fSZiyue Zhang        csBundle(2 * i + 2).srcType(0) := SrcType.vp
415fc85f18fSZiyue Zhang        csBundle(2 * i + 2).lsrc(0) := VECTOR_TMP_REG_LMUL.U
416d91483a6Sfdy        csBundle(2 * i + 2).lsrc(1) := src2 + i.U
417d91483a6Sfdy        csBundle(2 * i + 2).lsrc(2) := dest + (2 * i + 1).U
418d91483a6Sfdy        csBundle(2 * i + 2).ldest := dest + (2 * i + 1).U
419d91483a6Sfdy        csBundle(2 * i + 2).uopIdx := (2 * i + 1).U
420d91483a6Sfdy      }
421d91483a6Sfdy    }
42217ec87f2SXuan Hu    is(UopSplitType.VEC_WXW) {
423d91483a6Sfdy      /*
424d6059658SZiyue Zhang      i to vector move
425d91483a6Sfdy       */
426d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
427d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
428d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
429fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
430fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
431fc85f18fSZiyue Zhang      csBundle(0).fuOpType := vsewReg
432fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
433d91483a6Sfdy
434d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 2) {
435fc85f18fSZiyue Zhang        csBundle(2 * i + 1).srcType(0) := SrcType.vp
436fc85f18fSZiyue Zhang        csBundle(2 * i + 1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
437d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + (2 * i).U
438d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := dest + (2 * i).U
439d91483a6Sfdy        csBundle(2 * i + 1).ldest := dest + (2 * i).U
440d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i).U
441fc85f18fSZiyue Zhang        csBundle(2 * i + 2).srcType(0) := SrcType.vp
442fc85f18fSZiyue Zhang        csBundle(2 * i + 2).lsrc(0) := VECTOR_TMP_REG_LMUL.U
443d91483a6Sfdy        csBundle(2 * i + 2).lsrc(1) := src2 + (2 * i + 1).U
444d91483a6Sfdy        csBundle(2 * i + 2).lsrc(2) := dest + (2 * i + 1).U
445d91483a6Sfdy        csBundle(2 * i + 2).ldest := dest + (2 * i + 1).U
446d91483a6Sfdy        csBundle(2 * i + 2).uopIdx := (2 * i + 1).U
447d91483a6Sfdy      }
448d91483a6Sfdy    }
44917ec87f2SXuan Hu    is(UopSplitType.VEC_WVV) {
450d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 2) {
451d91483a6Sfdy
452d91483a6Sfdy        csBundle(2 * i).lsrc(0) := src1 + i.U
453d91483a6Sfdy        csBundle(2 * i).lsrc(1) := src2 + (2 * i).U
454d91483a6Sfdy        csBundle(2 * i).lsrc(2) := dest + i.U
455d6f9198fSXuan Hu        csBundle(2 * i).ldest := dest + i.U
456d91483a6Sfdy        csBundle(2 * i).uopIdx := (2 * i).U
457d91483a6Sfdy        csBundle(2 * i + 1).lsrc(0) := src1 + i.U
458d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + (2 * i + 1).U
459d6f9198fSXuan Hu        csBundle(2 * i + 1).lsrc(2) := dest + i.U
460d91483a6Sfdy        csBundle(2 * i + 1).ldest := dest + i.U
461d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i + 1).U
462d91483a6Sfdy      }
463d91483a6Sfdy    }
4643748ec56Sxiaofeibao-xjtu    is(UopSplitType.VEC_WFW) {
4653748ec56Sxiaofeibao-xjtu      for (i <- 0 until MAX_VLMUL / 2) {
4663748ec56Sxiaofeibao-xjtu        csBundle(2 * i).lsrc(0) := src1
4673748ec56Sxiaofeibao-xjtu        csBundle(2 * i).lsrc(1) := src2 + (2 * i).U
4683748ec56Sxiaofeibao-xjtu        csBundle(2 * i).lsrc(2) := dest + (2 * i).U
4693748ec56Sxiaofeibao-xjtu        csBundle(2 * i).ldest := dest + (2 * i).U
4703748ec56Sxiaofeibao-xjtu        csBundle(2 * i).uopIdx := (2 * i).U
4713748ec56Sxiaofeibao-xjtu        csBundle(2 * i + 1).lsrc(0) := src1
4723748ec56Sxiaofeibao-xjtu        csBundle(2 * i + 1).lsrc(1) := src2 + (2 * i + 1).U
4733748ec56Sxiaofeibao-xjtu        csBundle(2 * i + 1).lsrc(2) := dest + (2 * i + 1).U
4743748ec56Sxiaofeibao-xjtu        csBundle(2 * i + 1).ldest := dest + (2 * i + 1).U
4753748ec56Sxiaofeibao-xjtu        csBundle(2 * i + 1).uopIdx := (2 * i + 1).U
4763748ec56Sxiaofeibao-xjtu      }
4773748ec56Sxiaofeibao-xjtu    }
47817ec87f2SXuan Hu    is(UopSplitType.VEC_WXV) {
479d91483a6Sfdy      /*
480d6059658SZiyue Zhang      i to vector move
481d91483a6Sfdy       */
482d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
483d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
484d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
485fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
486fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
487d6059658SZiyue Zhang      csBundle(0).fuOpType := Cat(Mux(src1IsImm, IF2VectorType.imm2vector(2, 0), IF2VectorType.i2vector(2, 0)), vsewReg)
488fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
489d91483a6Sfdy
490d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 2) {
491fc85f18fSZiyue Zhang        csBundle(2 * i + 1).srcType(0) := SrcType.vp
492fc85f18fSZiyue Zhang        csBundle(2 * i + 1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
493d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + (2 * i).U
494d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := dest + i.U
495d6f9198fSXuan Hu        csBundle(2 * i + 1).ldest := dest + i.U
496d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i).U
497fc85f18fSZiyue Zhang        csBundle(2 * i + 2).srcType(0) := SrcType.vp
498fc85f18fSZiyue Zhang        csBundle(2 * i + 2).lsrc(0) := VECTOR_TMP_REG_LMUL.U
499d91483a6Sfdy        csBundle(2 * i + 2).lsrc(1) := src2 + (2 * i + 1).U
500d6f9198fSXuan Hu        csBundle(2 * i + 2).lsrc(2) := dest + i.U
501d91483a6Sfdy        csBundle(2 * i + 2).ldest := dest + i.U
502d91483a6Sfdy        csBundle(2 * i + 2).uopIdx := (2 * i + 1).U
503d91483a6Sfdy      }
504d91483a6Sfdy    }
50517ec87f2SXuan Hu    is(UopSplitType.VEC_VVM) {
506d91483a6Sfdy      csBundle(0).lsrc(2) := dest
507d6f9198fSXuan Hu      csBundle(0).ldest := dest
508d91483a6Sfdy      csBundle(0).uopIdx := 0.U
509d91483a6Sfdy      for (i <- 1 until MAX_VLMUL) {
510d91483a6Sfdy        csBundle(i).lsrc(0) := src1 + i.U
511d91483a6Sfdy        csBundle(i).lsrc(1) := src2 + i.U
512d6f9198fSXuan Hu        csBundle(i).lsrc(2) := dest
513d6f9198fSXuan Hu        csBundle(i).ldest := dest
514d91483a6Sfdy        csBundle(i).uopIdx := i.U
515d91483a6Sfdy      }
516d91483a6Sfdy    }
517f06d6d60Sxiaofeibao-xjtu    is(UopSplitType.VEC_VFM) {
518f06d6d60Sxiaofeibao-xjtu      csBundle(0).lsrc(2) := dest
519f06d6d60Sxiaofeibao-xjtu      csBundle(0).ldest := dest
520f06d6d60Sxiaofeibao-xjtu      csBundle(0).uopIdx := 0.U
521f06d6d60Sxiaofeibao-xjtu      for (i <- 1 until MAX_VLMUL) {
522f06d6d60Sxiaofeibao-xjtu        csBundle(i).lsrc(0) := src1
523f06d6d60Sxiaofeibao-xjtu        csBundle(i).lsrc(1) := src2 + i.U
524f06d6d60Sxiaofeibao-xjtu        csBundle(i).lsrc(2) := dest
525f06d6d60Sxiaofeibao-xjtu        csBundle(i).ldest := dest
526f06d6d60Sxiaofeibao-xjtu        csBundle(i).uopIdx := i.U
527f06d6d60Sxiaofeibao-xjtu      }
528f06d6d60Sxiaofeibao-xjtu      csBundle(numOfUop - 1.U).ldest := dest
529f06d6d60Sxiaofeibao-xjtu    }
53017ec87f2SXuan Hu    is(UopSplitType.VEC_VXM) {
531d91483a6Sfdy      /*
532d6059658SZiyue Zhang      i to vector move
533d91483a6Sfdy       */
534d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
535d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
536d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
537fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
538fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
539d6059658SZiyue Zhang      csBundle(0).fuOpType := Cat(Mux(src1IsImm, IF2VectorType.imm2vector(2, 0), IF2VectorType.i2vector(2, 0)), vsewReg)
540fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
541d91483a6Sfdy      //LMUL
542fc85f18fSZiyue Zhang      csBundle(1).srcType(0) := SrcType.vp
543fc85f18fSZiyue Zhang      csBundle(1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
544d91483a6Sfdy      csBundle(1).lsrc(2) := dest
545d6f9198fSXuan Hu      csBundle(1).ldest := dest
546d91483a6Sfdy      csBundle(1).uopIdx := 0.U
547d91483a6Sfdy      for (i <- 1 until MAX_VLMUL) {
548fc85f18fSZiyue Zhang        csBundle(i + 1).srcType(0) := SrcType.vp
549fc85f18fSZiyue Zhang        csBundle(i + 1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
550d91483a6Sfdy        csBundle(i + 1).lsrc(1) := src2 + i.U
551d6f9198fSXuan Hu        csBundle(i + 1).lsrc(2) := dest
552d6f9198fSXuan Hu        csBundle(i + 1).ldest := dest
553d91483a6Sfdy        csBundle(i + 1).uopIdx := i.U
554d91483a6Sfdy      }
555d91483a6Sfdy      csBundle(numOfUop - 1.U).ldest := dest
556d91483a6Sfdy    }
55717ec87f2SXuan Hu    is(UopSplitType.VEC_SLIDE1UP) {
558d91483a6Sfdy      /*
559d6059658SZiyue Zhang      i to vector move
560d91483a6Sfdy       */
561d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
562d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
563d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
564fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
565fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
566fc85f18fSZiyue Zhang      csBundle(0).fuOpType := vsewReg
567fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
568d91483a6Sfdy      //LMUL
569fc85f18fSZiyue Zhang      csBundle(1).srcType(0) := SrcType.vp
570fc85f18fSZiyue Zhang      csBundle(1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
571d91483a6Sfdy      csBundle(1).lsrc(2) := dest
572d91483a6Sfdy      csBundle(1).ldest := dest
573d91483a6Sfdy      csBundle(1).uopIdx := 0.U
574d91483a6Sfdy      for (i <- 1 until MAX_VLMUL) {
575d91483a6Sfdy        csBundle(i + 1).srcType(0) := SrcType.vp
576d91483a6Sfdy        csBundle(i + 1).lsrc(0) := src2 + (i - 1).U
577d91483a6Sfdy        csBundle(i + 1).lsrc(1) := src2 + i.U
578d91483a6Sfdy        csBundle(i + 1).lsrc(2) := dest + i.U
579d91483a6Sfdy        csBundle(i + 1).ldest := dest + i.U
580d91483a6Sfdy        csBundle(i + 1).uopIdx := i.U
581d91483a6Sfdy      }
582d91483a6Sfdy    }
58317ec87f2SXuan Hu    is(UopSplitType.VEC_FSLIDE1UP) {
584d91483a6Sfdy      //LMUL
585d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.fp
586d91483a6Sfdy      csBundle(0).lsrc(0) := src1
587d91483a6Sfdy      csBundle(0).lsrc(1) := src2
588d91483a6Sfdy      csBundle(0).lsrc(2) := dest
589d91483a6Sfdy      csBundle(0).ldest := dest
590d91483a6Sfdy      csBundle(0).uopIdx := 0.U
591d91483a6Sfdy      for (i <- 1 until MAX_VLMUL) {
592d91483a6Sfdy        csBundle(i).srcType(0) := SrcType.vp
593d91483a6Sfdy        csBundle(i).lsrc(0) := src2 + (i - 1).U
594d91483a6Sfdy        csBundle(i).lsrc(1) := src2 + i.U
595d91483a6Sfdy        csBundle(i).lsrc(2) := dest + i.U
596d91483a6Sfdy        csBundle(i).ldest := dest + i.U
597d91483a6Sfdy        csBundle(i).uopIdx := i.U
598d91483a6Sfdy      }
599d91483a6Sfdy    }
60017ec87f2SXuan Hu    is(UopSplitType.VEC_SLIDE1DOWN) { // lmul+lmul = 16
601d91483a6Sfdy      /*
602d6059658SZiyue Zhang      i to vector move
603d91483a6Sfdy       */
604d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
605d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
606d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
607fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
608fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
609fc85f18fSZiyue Zhang      csBundle(0).fuOpType := vsewReg
610fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
611d91483a6Sfdy      //LMUL
612d91483a6Sfdy      for (i <- 0 until MAX_VLMUL) {
613d91483a6Sfdy        csBundle(2 * i + 1).srcType(0) := SrcType.vp
614d91483a6Sfdy        csBundle(2 * i + 1).srcType(1) := SrcType.vp
615d91483a6Sfdy        csBundle(2 * i + 1).lsrc(0) := src2 + (i + 1).U
616d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + i.U
617d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := dest + i.U
618fc85f18fSZiyue Zhang        csBundle(2 * i + 1).ldest := VECTOR_TMP_REG_LMUL.U + 1.U
619d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i).U
620d91483a6Sfdy        if (2 * i + 2 < MAX_VLMUL * 2) {
621fc85f18fSZiyue Zhang          csBundle(2 * i + 2).srcType(0) := SrcType.vp
622fc85f18fSZiyue Zhang          csBundle(2 * i + 2).lsrc(0) := VECTOR_TMP_REG_LMUL.U
623d91483a6Sfdy          // csBundle(2 * i + 2).lsrc(1) := src2 + i.U         // DontCare
624fc85f18fSZiyue Zhang          csBundle(2 * i + 2).lsrc(2) := VECTOR_TMP_REG_LMUL.U + 1.U
625d91483a6Sfdy          csBundle(2 * i + 2).ldest := dest + i.U
626d91483a6Sfdy          csBundle(2 * i + 2).uopIdx := (2 * i + 1).U
627d91483a6Sfdy        }
628d91483a6Sfdy      }
6298cbcda9aSZiyue Zhang      csBundle(numOfUop - 1.U).srcType(0) := SrcType.vp
6308cbcda9aSZiyue Zhang      csBundle(numOfUop - 1.U).lsrc(0) := VECTOR_TMP_REG_LMUL.U
631d91483a6Sfdy      csBundle(numOfUop - 1.U).ldest := dest + lmul - 1.U
632d91483a6Sfdy    }
63317ec87f2SXuan Hu    is(UopSplitType.VEC_FSLIDE1DOWN) {
634d91483a6Sfdy      //LMUL
635d91483a6Sfdy      for (i <- 0 until MAX_VLMUL) {
636d91483a6Sfdy        csBundle(2 * i).srcType(0) := SrcType.vp
637d91483a6Sfdy        csBundle(2 * i).srcType(1) := SrcType.vp
638d91483a6Sfdy        csBundle(2 * i).lsrc(0) := src2 + (i + 1).U
639d91483a6Sfdy        csBundle(2 * i).lsrc(1) := src2 + i.U
640d91483a6Sfdy        csBundle(2 * i).lsrc(2) := dest + i.U
641d91483a6Sfdy        csBundle(2 * i).ldest := VECTOR_TMP_REG_LMUL.U
642d91483a6Sfdy        csBundle(2 * i).uopIdx := (2 * i).U
643d91483a6Sfdy        csBundle(2 * i + 1).srcType(0) := SrcType.fp
644d91483a6Sfdy        csBundle(2 * i + 1).lsrc(0) := src1
645d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := VECTOR_TMP_REG_LMUL.U
646d91483a6Sfdy        csBundle(2 * i + 1).ldest := dest + i.U
647d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i + 1).U
648d91483a6Sfdy      }
649d91483a6Sfdy      csBundle(numOfUop - 1.U).srcType(0) := SrcType.fp
650d91483a6Sfdy      csBundle(numOfUop - 1.U).lsrc(0) := src1
651d91483a6Sfdy      csBundle(numOfUop - 1.U).ldest := dest + lmul - 1.U
652d91483a6Sfdy    }
65317ec87f2SXuan Hu    is(UopSplitType.VEC_VRED) {
654aaa08c5aSxiaofeibao-xjtu      when(vlmulReg === "b001".U) {
655d91483a6Sfdy        csBundle(0).srcType(2) := SrcType.DC
656d91483a6Sfdy        csBundle(0).lsrc(0) := src2 + 1.U
657d91483a6Sfdy        csBundle(0).lsrc(1) := src2
658d91483a6Sfdy        csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
659d91483a6Sfdy        csBundle(0).uopIdx := 0.U
660d91483a6Sfdy      }
661aaa08c5aSxiaofeibao-xjtu      when(vlmulReg === "b010".U) {
662d91483a6Sfdy        csBundle(0).srcType(2) := SrcType.DC
663d91483a6Sfdy        csBundle(0).lsrc(0) := src2 + 1.U
664d91483a6Sfdy        csBundle(0).lsrc(1) := src2
665d91483a6Sfdy        csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
666d91483a6Sfdy        csBundle(0).uopIdx := 0.U
667d91483a6Sfdy
668d91483a6Sfdy        csBundle(1).srcType(2) := SrcType.DC
669d91483a6Sfdy        csBundle(1).lsrc(0) := src2 + 3.U
670d91483a6Sfdy        csBundle(1).lsrc(1) := src2 + 2.U
671d91483a6Sfdy        csBundle(1).ldest := (VECTOR_TMP_REG_LMUL + 1).U
672d91483a6Sfdy        csBundle(1).uopIdx := 1.U
673d91483a6Sfdy
674d91483a6Sfdy        csBundle(2).srcType(2) := SrcType.DC
675d91483a6Sfdy        csBundle(2).lsrc(0) := (VECTOR_TMP_REG_LMUL + 1).U
676d91483a6Sfdy        csBundle(2).lsrc(1) := VECTOR_TMP_REG_LMUL.U
677d91483a6Sfdy        csBundle(2).ldest := (VECTOR_TMP_REG_LMUL + 2).U
678d91483a6Sfdy        csBundle(2).uopIdx := 2.U
679d91483a6Sfdy      }
680aaa08c5aSxiaofeibao-xjtu      when(vlmulReg === "b011".U) {
681d91483a6Sfdy        for (i <- 0 until MAX_VLMUL) {
682d91483a6Sfdy          if (i < MAX_VLMUL - MAX_VLMUL / 2) {
683d91483a6Sfdy            csBundle(i).lsrc(0) := src2 + (i * 2 + 1).U
684d91483a6Sfdy            csBundle(i).lsrc(1) := src2 + (i * 2).U
685d91483a6Sfdy            csBundle(i).ldest := (VECTOR_TMP_REG_LMUL + i).U
686d91483a6Sfdy          } else if (i < MAX_VLMUL - MAX_VLMUL / 4) {
687d91483a6Sfdy            csBundle(i).lsrc(0) := (VECTOR_TMP_REG_LMUL + (i - MAX_VLMUL / 2) * 2 + 1).U
688d91483a6Sfdy            csBundle(i).lsrc(1) := (VECTOR_TMP_REG_LMUL + (i - MAX_VLMUL / 2) * 2).U
689d91483a6Sfdy            csBundle(i).ldest := (VECTOR_TMP_REG_LMUL + i).U
690d91483a6Sfdy          } else if (i < MAX_VLMUL - MAX_VLMUL / 8) {
691d91483a6Sfdy            csBundle(6).lsrc(0) := (VECTOR_TMP_REG_LMUL + 5).U
692d91483a6Sfdy            csBundle(6).lsrc(1) := (VECTOR_TMP_REG_LMUL + 4).U
693d91483a6Sfdy            csBundle(6).ldest := (VECTOR_TMP_REG_LMUL + 6).U
694d91483a6Sfdy          }
695d91483a6Sfdy          csBundle(i).srcType(2) := SrcType.DC
696d91483a6Sfdy          csBundle(i).uopIdx := i.U
697d91483a6Sfdy        }
698d91483a6Sfdy      }
699aaa08c5aSxiaofeibao-xjtu      when(vlmulReg.orR) {
700d91483a6Sfdy        csBundle(numOfUop - 1.U).srcType(2) := SrcType.vp
701d91483a6Sfdy        csBundle(numOfUop - 1.U).lsrc(0) := src1
702d91483a6Sfdy        csBundle(numOfUop - 1.U).lsrc(1) := VECTOR_TMP_REG_LMUL.U + numOfUop - 2.U
703d91483a6Sfdy        csBundle(numOfUop - 1.U).lsrc(2) := dest
704d91483a6Sfdy        csBundle(numOfUop - 1.U).ldest := dest
705d91483a6Sfdy        csBundle(numOfUop - 1.U).uopIdx := numOfUop - 1.U
706d91483a6Sfdy      }
707d91483a6Sfdy    }
708582849ffSxiaofeibao-xjtu    is(UopSplitType.VEC_VFRED) {
709aaa08c5aSxiaofeibao-xjtu      val vlmul = vlmulReg
710aaa08c5aSxiaofeibao-xjtu      val vsew = vsewReg
711582849ffSxiaofeibao-xjtu      when(vlmul === VLmul.m8){
712582849ffSxiaofeibao-xjtu        for (i <- 0 until 4) {
713582849ffSxiaofeibao-xjtu          csBundle(i).lsrc(0) := src2 + (i * 2 + 1).U
714582849ffSxiaofeibao-xjtu          csBundle(i).lsrc(1) := src2 + (i * 2).U
715582849ffSxiaofeibao-xjtu          csBundle(i).ldest := (VECTOR_TMP_REG_LMUL + i).U
716582849ffSxiaofeibao-xjtu          csBundle(i).uopIdx := i.U
717582849ffSxiaofeibao-xjtu        }
718582849ffSxiaofeibao-xjtu        for (i <- 4 until 6) {
719582849ffSxiaofeibao-xjtu          csBundle(i).lsrc(0) := (VECTOR_TMP_REG_LMUL + (i - 4) * 2 + 1).U
720582849ffSxiaofeibao-xjtu          csBundle(i).lsrc(1) := (VECTOR_TMP_REG_LMUL + (i - 4) * 2).U
721582849ffSxiaofeibao-xjtu          csBundle(i).ldest := (VECTOR_TMP_REG_LMUL + i).U
722582849ffSxiaofeibao-xjtu          csBundle(i).uopIdx := i.U
723582849ffSxiaofeibao-xjtu        }
724582849ffSxiaofeibao-xjtu        csBundle(6).lsrc(0) := (VECTOR_TMP_REG_LMUL + 5).U
725582849ffSxiaofeibao-xjtu        csBundle(6).lsrc(1) := (VECTOR_TMP_REG_LMUL + 4).U
726582849ffSxiaofeibao-xjtu        csBundle(6).ldest := (VECTOR_TMP_REG_LMUL + 6).U
727582849ffSxiaofeibao-xjtu        csBundle(6).uopIdx := 6.U
728582849ffSxiaofeibao-xjtu        when(vsew === VSew.e64) {
729582849ffSxiaofeibao-xjtu          csBundle(7).lsrc(0) := (VECTOR_TMP_REG_LMUL + 6).U
730582849ffSxiaofeibao-xjtu          csBundle(7).lsrc(1) := (VECTOR_TMP_REG_LMUL + 6).U
731582849ffSxiaofeibao-xjtu          csBundle(7).ldest := (VECTOR_TMP_REG_LMUL + 7).U
732582849ffSxiaofeibao-xjtu          csBundle(7).vpu.fpu.isFoldTo1_2 := true.B
733582849ffSxiaofeibao-xjtu          csBundle(7).uopIdx := 7.U
734582849ffSxiaofeibao-xjtu          csBundle(8).lsrc(0) := src1
735582849ffSxiaofeibao-xjtu          csBundle(8).lsrc(1) := (VECTOR_TMP_REG_LMUL + 7).U
736582849ffSxiaofeibao-xjtu          csBundle(8).ldest := dest
737582849ffSxiaofeibao-xjtu          csBundle(8).uopIdx := 8.U
738582849ffSxiaofeibao-xjtu        }
739582849ffSxiaofeibao-xjtu        when(vsew === VSew.e32) {
740582849ffSxiaofeibao-xjtu          csBundle(7).lsrc(0) := (VECTOR_TMP_REG_LMUL + 6).U
741582849ffSxiaofeibao-xjtu          csBundle(7).lsrc(1) := (VECTOR_TMP_REG_LMUL + 6).U
742582849ffSxiaofeibao-xjtu          csBundle(7).ldest := (VECTOR_TMP_REG_LMUL + 7).U
743582849ffSxiaofeibao-xjtu          csBundle(7).vpu.fpu.isFoldTo1_2 := true.B
744582849ffSxiaofeibao-xjtu          csBundle(7).uopIdx := 7.U
745582849ffSxiaofeibao-xjtu          csBundle(8).lsrc(0) := (VECTOR_TMP_REG_LMUL + 7).U
746582849ffSxiaofeibao-xjtu          csBundle(8).lsrc(1) := (VECTOR_TMP_REG_LMUL + 7).U
747582849ffSxiaofeibao-xjtu          csBundle(8).ldest := (VECTOR_TMP_REG_LMUL + 8).U
748582849ffSxiaofeibao-xjtu          csBundle(8).vpu.fpu.isFoldTo1_4 := true.B
749582849ffSxiaofeibao-xjtu          csBundle(8).uopIdx := 8.U
750582849ffSxiaofeibao-xjtu          csBundle(9).lsrc(0) := src1
751582849ffSxiaofeibao-xjtu          csBundle(9).lsrc(1) := (VECTOR_TMP_REG_LMUL + 8).U
752582849ffSxiaofeibao-xjtu          csBundle(9).ldest := dest
753582849ffSxiaofeibao-xjtu          csBundle(9).uopIdx := 9.U
754582849ffSxiaofeibao-xjtu        }
755582849ffSxiaofeibao-xjtu        when(vsew === VSew.e16) {
756582849ffSxiaofeibao-xjtu          csBundle(7).lsrc(0) := (VECTOR_TMP_REG_LMUL + 6).U
757582849ffSxiaofeibao-xjtu          csBundle(7).lsrc(1) := (VECTOR_TMP_REG_LMUL + 6).U
758582849ffSxiaofeibao-xjtu          csBundle(7).ldest := (VECTOR_TMP_REG_LMUL + 7).U
759582849ffSxiaofeibao-xjtu          csBundle(7).vpu.fpu.isFoldTo1_2 := true.B
760582849ffSxiaofeibao-xjtu          csBundle(7).uopIdx := 7.U
761582849ffSxiaofeibao-xjtu          csBundle(8).lsrc(0) := (VECTOR_TMP_REG_LMUL + 7).U
762582849ffSxiaofeibao-xjtu          csBundle(8).lsrc(1) := (VECTOR_TMP_REG_LMUL + 7).U
763582849ffSxiaofeibao-xjtu          csBundle(8).ldest := (VECTOR_TMP_REG_LMUL + 8).U
764582849ffSxiaofeibao-xjtu          csBundle(8).vpu.fpu.isFoldTo1_4 := true.B
765582849ffSxiaofeibao-xjtu          csBundle(8).uopIdx := 8.U
766582849ffSxiaofeibao-xjtu          csBundle(9).lsrc(0) := (VECTOR_TMP_REG_LMUL + 8).U
767582849ffSxiaofeibao-xjtu          csBundle(9).lsrc(1) := (VECTOR_TMP_REG_LMUL + 8).U
768582849ffSxiaofeibao-xjtu          csBundle(9).ldest := (VECTOR_TMP_REG_LMUL + 9).U
769582849ffSxiaofeibao-xjtu          csBundle(9).vpu.fpu.isFoldTo1_8 := true.B
770582849ffSxiaofeibao-xjtu          csBundle(9).uopIdx := 9.U
771582849ffSxiaofeibao-xjtu          csBundle(10).lsrc(0) := src1
772582849ffSxiaofeibao-xjtu          csBundle(10).lsrc(1) := (VECTOR_TMP_REG_LMUL + 9).U
773582849ffSxiaofeibao-xjtu          csBundle(10).ldest := dest
774582849ffSxiaofeibao-xjtu          csBundle(10).uopIdx := 10.U
775582849ffSxiaofeibao-xjtu        }
776582849ffSxiaofeibao-xjtu      }
777582849ffSxiaofeibao-xjtu      when(vlmul === VLmul.m4) {
778582849ffSxiaofeibao-xjtu        for (i <- 0 until 2) {
779582849ffSxiaofeibao-xjtu          csBundle(i).lsrc(0) := src2 + (i * 2 + 1).U
780582849ffSxiaofeibao-xjtu          csBundle(i).lsrc(1) := src2 + (i * 2).U
781582849ffSxiaofeibao-xjtu          csBundle(i).ldest := (VECTOR_TMP_REG_LMUL + i).U
782582849ffSxiaofeibao-xjtu          csBundle(i).uopIdx := i.U
783582849ffSxiaofeibao-xjtu        }
784582849ffSxiaofeibao-xjtu        csBundle(2).lsrc(0) := (VECTOR_TMP_REG_LMUL + 1).U
785582849ffSxiaofeibao-xjtu        csBundle(2).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
786582849ffSxiaofeibao-xjtu        csBundle(2).ldest := (VECTOR_TMP_REG_LMUL + 2).U
787582849ffSxiaofeibao-xjtu        csBundle(2).uopIdx := 2.U
788582849ffSxiaofeibao-xjtu        when(vsew === VSew.e64) {
789582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(0) := (VECTOR_TMP_REG_LMUL + 2).U
790582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(1) := (VECTOR_TMP_REG_LMUL + 2).U
791582849ffSxiaofeibao-xjtu          csBundle(3).ldest := (VECTOR_TMP_REG_LMUL + 3).U
792582849ffSxiaofeibao-xjtu          csBundle(3).vpu.fpu.isFoldTo1_2 := true.B
793582849ffSxiaofeibao-xjtu          csBundle(3).uopIdx := 3.U
794582849ffSxiaofeibao-xjtu          csBundle(4).lsrc(0) := src1
795582849ffSxiaofeibao-xjtu          csBundle(4).lsrc(1) := (VECTOR_TMP_REG_LMUL + 3).U
796582849ffSxiaofeibao-xjtu          csBundle(4).ldest := dest
797582849ffSxiaofeibao-xjtu          csBundle(4).uopIdx := 4.U
798582849ffSxiaofeibao-xjtu        }
799582849ffSxiaofeibao-xjtu        when(vsew === VSew.e32) {
800582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(0) := (VECTOR_TMP_REG_LMUL + 2).U
801582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(1) := (VECTOR_TMP_REG_LMUL + 2).U
802582849ffSxiaofeibao-xjtu          csBundle(3).ldest := (VECTOR_TMP_REG_LMUL + 3).U
803582849ffSxiaofeibao-xjtu          csBundle(3).vpu.fpu.isFoldTo1_2 := true.B
804582849ffSxiaofeibao-xjtu          csBundle(3).uopIdx := 3.U
805582849ffSxiaofeibao-xjtu          csBundle(4).lsrc(0) := (VECTOR_TMP_REG_LMUL + 3).U
806582849ffSxiaofeibao-xjtu          csBundle(4).lsrc(1) := (VECTOR_TMP_REG_LMUL + 3).U
807582849ffSxiaofeibao-xjtu          csBundle(4).ldest := (VECTOR_TMP_REG_LMUL + 4).U
808582849ffSxiaofeibao-xjtu          csBundle(4).vpu.fpu.isFoldTo1_4 := true.B
809582849ffSxiaofeibao-xjtu          csBundle(4).uopIdx := 4.U
810582849ffSxiaofeibao-xjtu          csBundle(5).lsrc(0) := src1
811582849ffSxiaofeibao-xjtu          csBundle(5).lsrc(1) := (VECTOR_TMP_REG_LMUL + 4).U
812582849ffSxiaofeibao-xjtu          csBundle(5).ldest := dest
813582849ffSxiaofeibao-xjtu          csBundle(5).uopIdx := 5.U
814582849ffSxiaofeibao-xjtu        }
815582849ffSxiaofeibao-xjtu        when(vsew === VSew.e16) {
816582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(0) := (VECTOR_TMP_REG_LMUL + 2).U
817582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(1) := (VECTOR_TMP_REG_LMUL + 2).U
818582849ffSxiaofeibao-xjtu          csBundle(3).ldest := (VECTOR_TMP_REG_LMUL + 3).U
819582849ffSxiaofeibao-xjtu          csBundle(3).vpu.fpu.isFoldTo1_2 := true.B
820582849ffSxiaofeibao-xjtu          csBundle(3).uopIdx := 3.U
821582849ffSxiaofeibao-xjtu          csBundle(4).lsrc(0) := (VECTOR_TMP_REG_LMUL + 3).U
822582849ffSxiaofeibao-xjtu          csBundle(4).lsrc(1) := (VECTOR_TMP_REG_LMUL + 3).U
823582849ffSxiaofeibao-xjtu          csBundle(4).ldest := (VECTOR_TMP_REG_LMUL + 4).U
824582849ffSxiaofeibao-xjtu          csBundle(4).vpu.fpu.isFoldTo1_4 := true.B
825582849ffSxiaofeibao-xjtu          csBundle(4).uopIdx := 4.U
826582849ffSxiaofeibao-xjtu          csBundle(5).lsrc(0) := (VECTOR_TMP_REG_LMUL + 4).U
827582849ffSxiaofeibao-xjtu          csBundle(5).lsrc(1) := (VECTOR_TMP_REG_LMUL + 4).U
828582849ffSxiaofeibao-xjtu          csBundle(5).ldest := (VECTOR_TMP_REG_LMUL + 5).U
829582849ffSxiaofeibao-xjtu          csBundle(5).vpu.fpu.isFoldTo1_8 := true.B
830582849ffSxiaofeibao-xjtu          csBundle(5).uopIdx := 5.U
831582849ffSxiaofeibao-xjtu          csBundle(6).lsrc(0) := src1
832582849ffSxiaofeibao-xjtu          csBundle(6).lsrc(1) := (VECTOR_TMP_REG_LMUL + 5).U
833582849ffSxiaofeibao-xjtu          csBundle(6).ldest := dest
834582849ffSxiaofeibao-xjtu          csBundle(6).uopIdx := 6.U
835582849ffSxiaofeibao-xjtu        }
836582849ffSxiaofeibao-xjtu      }
837582849ffSxiaofeibao-xjtu      when(vlmul === VLmul.m2) {
838582849ffSxiaofeibao-xjtu        csBundle(0).lsrc(0) := src2 + 1.U
839582849ffSxiaofeibao-xjtu        csBundle(0).lsrc(1) := src2 + 0.U
840582849ffSxiaofeibao-xjtu        csBundle(0).ldest := (VECTOR_TMP_REG_LMUL + 0).U
841582849ffSxiaofeibao-xjtu        csBundle(0).uopIdx := 0.U
842582849ffSxiaofeibao-xjtu        when(vsew === VSew.e64) {
843582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(0) := (VECTOR_TMP_REG_LMUL + 0).U
844582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
845582849ffSxiaofeibao-xjtu          csBundle(1).ldest := (VECTOR_TMP_REG_LMUL + 1).U
846582849ffSxiaofeibao-xjtu          csBundle(1).vpu.fpu.isFoldTo1_2 := true.B
847582849ffSxiaofeibao-xjtu          csBundle(1).uopIdx := 1.U
848582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(0) := src1
849582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(1) := (VECTOR_TMP_REG_LMUL + 1).U
850582849ffSxiaofeibao-xjtu          csBundle(2).ldest := dest
851582849ffSxiaofeibao-xjtu          csBundle(2).uopIdx := 2.U
852582849ffSxiaofeibao-xjtu        }
853582849ffSxiaofeibao-xjtu        when(vsew === VSew.e32) {
854582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(0) := (VECTOR_TMP_REG_LMUL + 0).U
855582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
856582849ffSxiaofeibao-xjtu          csBundle(1).ldest := (VECTOR_TMP_REG_LMUL + 1).U
857582849ffSxiaofeibao-xjtu          csBundle(1).vpu.fpu.isFoldTo1_2 := true.B
858582849ffSxiaofeibao-xjtu          csBundle(1).uopIdx := 1.U
859582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(0) := (VECTOR_TMP_REG_LMUL + 1).U
860582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(1) := (VECTOR_TMP_REG_LMUL + 1).U
861582849ffSxiaofeibao-xjtu          csBundle(2).ldest := (VECTOR_TMP_REG_LMUL + 2).U
862582849ffSxiaofeibao-xjtu          csBundle(2).vpu.fpu.isFoldTo1_4 := true.B
863582849ffSxiaofeibao-xjtu          csBundle(2).uopIdx := 2.U
864582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(0) := src1
865582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(1) := (VECTOR_TMP_REG_LMUL + 2).U
866582849ffSxiaofeibao-xjtu          csBundle(3).ldest := dest
867582849ffSxiaofeibao-xjtu          csBundle(3).uopIdx := 3.U
868582849ffSxiaofeibao-xjtu        }
869582849ffSxiaofeibao-xjtu        when(vsew === VSew.e16) {
870582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(0) := (VECTOR_TMP_REG_LMUL + 0).U
871582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
872582849ffSxiaofeibao-xjtu          csBundle(1).ldest := (VECTOR_TMP_REG_LMUL + 1).U
873582849ffSxiaofeibao-xjtu          csBundle(1).vpu.fpu.isFoldTo1_2 := true.B
874582849ffSxiaofeibao-xjtu          csBundle(1).uopIdx := 1.U
875582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(0) := (VECTOR_TMP_REG_LMUL + 1).U
876582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(1) := (VECTOR_TMP_REG_LMUL + 1).U
877582849ffSxiaofeibao-xjtu          csBundle(2).ldest := (VECTOR_TMP_REG_LMUL + 2).U
878582849ffSxiaofeibao-xjtu          csBundle(2).vpu.fpu.isFoldTo1_4 := true.B
879582849ffSxiaofeibao-xjtu          csBundle(2).uopIdx := 2.U
880582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(0) := (VECTOR_TMP_REG_LMUL + 2).U
881582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(1) := (VECTOR_TMP_REG_LMUL + 2).U
882582849ffSxiaofeibao-xjtu          csBundle(3).ldest := (VECTOR_TMP_REG_LMUL + 3).U
883582849ffSxiaofeibao-xjtu          csBundle(3).vpu.fpu.isFoldTo1_8 := true.B
884582849ffSxiaofeibao-xjtu          csBundle(3).uopIdx := 3.U
885582849ffSxiaofeibao-xjtu          csBundle(4).lsrc(0) := src1
886582849ffSxiaofeibao-xjtu          csBundle(4).lsrc(1) := (VECTOR_TMP_REG_LMUL + 3).U
887582849ffSxiaofeibao-xjtu          csBundle(4).ldest := dest
888582849ffSxiaofeibao-xjtu          csBundle(4).uopIdx := 4.U
889582849ffSxiaofeibao-xjtu        }
890582849ffSxiaofeibao-xjtu      }
891582849ffSxiaofeibao-xjtu      when(vlmul === VLmul.m1) {
892582849ffSxiaofeibao-xjtu        when(vsew === VSew.e64) {
893582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(0) := src2
894582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(1) := src2
895582849ffSxiaofeibao-xjtu          csBundle(0).ldest := (VECTOR_TMP_REG_LMUL + 0).U
896582849ffSxiaofeibao-xjtu          csBundle(0).vpu.fpu.isFoldTo1_2 := true.B
897582849ffSxiaofeibao-xjtu          csBundle(0).uopIdx := 0.U
898582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(0) := src1
899582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
900582849ffSxiaofeibao-xjtu          csBundle(1).ldest := dest
901582849ffSxiaofeibao-xjtu          csBundle(1).uopIdx := 1.U
902582849ffSxiaofeibao-xjtu        }
903582849ffSxiaofeibao-xjtu        when(vsew === VSew.e32) {
904582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(0) := src2
905582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(1) := src2
906582849ffSxiaofeibao-xjtu          csBundle(0).ldest := (VECTOR_TMP_REG_LMUL + 0).U
907582849ffSxiaofeibao-xjtu          csBundle(0).vpu.fpu.isFoldTo1_2 := true.B
908582849ffSxiaofeibao-xjtu          csBundle(0).uopIdx := 0.U
909582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(0) := (VECTOR_TMP_REG_LMUL + 0).U
910582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
911582849ffSxiaofeibao-xjtu          csBundle(1).ldest := (VECTOR_TMP_REG_LMUL + 1).U
912582849ffSxiaofeibao-xjtu          csBundle(1).vpu.fpu.isFoldTo1_4 := true.B
913582849ffSxiaofeibao-xjtu          csBundle(1).uopIdx := 1.U
914582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(0) := src1
915582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(1) := (VECTOR_TMP_REG_LMUL + 1).U
916582849ffSxiaofeibao-xjtu          csBundle(2).ldest := dest
917582849ffSxiaofeibao-xjtu          csBundle(2).uopIdx := 2.U
918582849ffSxiaofeibao-xjtu        }
919582849ffSxiaofeibao-xjtu        when(vsew === VSew.e16) {
920582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(0) := src2
921582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(1) := src2
922582849ffSxiaofeibao-xjtu          csBundle(0).ldest := (VECTOR_TMP_REG_LMUL + 0).U
923582849ffSxiaofeibao-xjtu          csBundle(0).vpu.fpu.isFoldTo1_2 := true.B
924582849ffSxiaofeibao-xjtu          csBundle(0).uopIdx := 0.U
925582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(0) := (VECTOR_TMP_REG_LMUL + 0).U
926582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
927582849ffSxiaofeibao-xjtu          csBundle(1).ldest := (VECTOR_TMP_REG_LMUL + 1).U
928582849ffSxiaofeibao-xjtu          csBundle(1).vpu.fpu.isFoldTo1_4 := true.B
929582849ffSxiaofeibao-xjtu          csBundle(1).uopIdx := 1.U
930582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(0) := (VECTOR_TMP_REG_LMUL + 1).U
931582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(1) := (VECTOR_TMP_REG_LMUL + 1).U
932582849ffSxiaofeibao-xjtu          csBundle(2).ldest := (VECTOR_TMP_REG_LMUL + 2).U
933582849ffSxiaofeibao-xjtu          csBundle(2).vpu.fpu.isFoldTo1_8 := true.B
934582849ffSxiaofeibao-xjtu          csBundle(2).uopIdx := 2.U
935582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(0) := src1
936582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(1) := (VECTOR_TMP_REG_LMUL + 2).U
937582849ffSxiaofeibao-xjtu          csBundle(3).ldest := dest
938582849ffSxiaofeibao-xjtu          csBundle(3).uopIdx := 3.U
939582849ffSxiaofeibao-xjtu        }
940582849ffSxiaofeibao-xjtu      }
941582849ffSxiaofeibao-xjtu      when(vlmul === VLmul.mf2) {
942582849ffSxiaofeibao-xjtu        when(vsew === VSew.e32) {
943582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(0) := src2
944582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(1) := src2
945582849ffSxiaofeibao-xjtu          csBundle(0).ldest := (VECTOR_TMP_REG_LMUL + 0).U
946582849ffSxiaofeibao-xjtu          csBundle(0).vpu.fpu.isFoldTo1_4 := true.B
947582849ffSxiaofeibao-xjtu          csBundle(0).uopIdx := 0.U
948582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(0) := src1
949582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
950582849ffSxiaofeibao-xjtu          csBundle(1).ldest := dest
951582849ffSxiaofeibao-xjtu          csBundle(1).uopIdx := 1.U
952582849ffSxiaofeibao-xjtu        }
953582849ffSxiaofeibao-xjtu        when(vsew === VSew.e16) {
954582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(0) := src2
955582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(1) := src2
956582849ffSxiaofeibao-xjtu          csBundle(0).ldest := (VECTOR_TMP_REG_LMUL + 0).U
957582849ffSxiaofeibao-xjtu          csBundle(0).vpu.fpu.isFoldTo1_4 := true.B
958582849ffSxiaofeibao-xjtu          csBundle(0).uopIdx := 0.U
959582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(0) := (VECTOR_TMP_REG_LMUL + 0).U
960582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
961582849ffSxiaofeibao-xjtu          csBundle(1).ldest := (VECTOR_TMP_REG_LMUL + 1).U
962582849ffSxiaofeibao-xjtu          csBundle(1).vpu.fpu.isFoldTo1_8 := true.B
963582849ffSxiaofeibao-xjtu          csBundle(1).uopIdx := 1.U
964582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(0) := src1
965582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(1) := (VECTOR_TMP_REG_LMUL + 1).U
966582849ffSxiaofeibao-xjtu          csBundle(2).ldest := dest
967582849ffSxiaofeibao-xjtu          csBundle(2).uopIdx := 2.U
968582849ffSxiaofeibao-xjtu        }
969582849ffSxiaofeibao-xjtu      }
970582849ffSxiaofeibao-xjtu      when(vlmul === VLmul.mf4) {
971582849ffSxiaofeibao-xjtu        when(vsew === VSew.e16) {
972582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(0) := src2
973582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(1) := src2
974582849ffSxiaofeibao-xjtu          csBundle(0).ldest := (VECTOR_TMP_REG_LMUL + 0).U
975582849ffSxiaofeibao-xjtu          csBundle(0).vpu.fpu.isFoldTo1_8 := true.B
976582849ffSxiaofeibao-xjtu          csBundle(0).uopIdx := 0.U
977582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(0) := src1
978582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
979582849ffSxiaofeibao-xjtu          csBundle(1).ldest := dest
980582849ffSxiaofeibao-xjtu          csBundle(1).uopIdx := 1.U
981582849ffSxiaofeibao-xjtu        }
982582849ffSxiaofeibao-xjtu      }
983582849ffSxiaofeibao-xjtu    }
984d91483a6Sfdy
985b94b1889Sxiaofeibao-xjtu    is(UopSplitType.VEC_VFREDOSUM) {
986b94b1889Sxiaofeibao-xjtu      import yunsuan.VfaluType
987aaa08c5aSxiaofeibao-xjtu      val vlmul = vlmulReg
988aaa08c5aSxiaofeibao-xjtu      val vsew = vsewReg
989*e25c13faSXuan Hu      val isWiden = latchedInst.fuOpType === VfaluType.vfwredosum
990b94b1889Sxiaofeibao-xjtu      when(vlmul === VLmul.m8) {
991b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e64) {
992b94b1889Sxiaofeibao-xjtu          val vlmax = 16
993b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
994b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
995b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 2 == 0) src2 + (i/2).U else VECTOR_TMP_REG_LMUL.U)
996b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 2 == 0) src2 + (i/2).U else if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
997b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
998b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_2 := (if (i % 2 == 0) false.B else true.B)
999b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1000b94b1889Sxiaofeibao-xjtu          }
1001b94b1889Sxiaofeibao-xjtu        }
1002b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e32) {
1003b94b1889Sxiaofeibao-xjtu          val vlmax = 32
1004b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1005b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1006b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 4 == 0) src2 + (i/4).U else VECTOR_TMP_REG_LMUL.U)
1007b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 4 == 0) src2 + (i/4).U else if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1008b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1009b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := (if (i % 4 == 0) false.B else true.B)
1010b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1011b94b1889Sxiaofeibao-xjtu          }
1012b94b1889Sxiaofeibao-xjtu        }
1013b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e16) {
1014b94b1889Sxiaofeibao-xjtu          val vlmax = 64
1015b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1016b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1017b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 8 == 0) src2 + (i/8).U else VECTOR_TMP_REG_LMUL.U)
1018b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 8 == 0) src2 + (i/8).U else if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1019b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1020b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_8 := (if (i % 8 == 0) false.B else true.B)
1021b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1022b94b1889Sxiaofeibao-xjtu          }
1023b94b1889Sxiaofeibao-xjtu        }
1024b94b1889Sxiaofeibao-xjtu      }
1025b94b1889Sxiaofeibao-xjtu      when(vlmul === VLmul.m4) {
1026b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e64) {
1027b94b1889Sxiaofeibao-xjtu          val vlmax = 8
1028b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1029b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1030b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 2 == 0) src2 + (i/2).U else VECTOR_TMP_REG_LMUL.U)
1031b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 2 == 0) src2 + (i/2).U else if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1032b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1033b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_2 := (if (i % 2 == 0) false.B else true.B)
1034b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1035b94b1889Sxiaofeibao-xjtu          }
1036b94b1889Sxiaofeibao-xjtu        }
1037b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e32) {
1038b94b1889Sxiaofeibao-xjtu          val vlmax = 16
1039b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1040b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1041b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 4 == 0) src2 + (i/4).U else VECTOR_TMP_REG_LMUL.U)
1042b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 4 == 0) src2 + (i/4).U else if (i == vlmax - 1) dest else if (i % 4 == 1) Mux(isWiden, src2 + (i/4).U, VECTOR_TMP_REG_LMUL.U) else VECTOR_TMP_REG_LMUL.U)
1043b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1044b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_2 := isWiden && (if (i % 4 == 0) false.B else true.B)
1045b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := !isWiden && (if (i % 4 == 0) false.B else true.B)
1046b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1047b94b1889Sxiaofeibao-xjtu          }
1048b94b1889Sxiaofeibao-xjtu        }
1049b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e16) {
1050b94b1889Sxiaofeibao-xjtu          val vlmax = 32
1051b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1052b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1053b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 8 == 0) src2 + (i/8).U else VECTOR_TMP_REG_LMUL.U)
1054b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 8 == 0) src2 + (i/8).U else if (i == vlmax - 1) dest else if (i % 8 == 1) Mux(isWiden, src2 + (i/8).U, VECTOR_TMP_REG_LMUL.U) else VECTOR_TMP_REG_LMUL.U)
1055b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1056b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := isWiden && (if (i % 8 == 0) false.B else true.B)
1057b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_8 := !isWiden && (if (i % 8 == 0) false.B else true.B)
1058b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1059b94b1889Sxiaofeibao-xjtu          }
1060b94b1889Sxiaofeibao-xjtu        }
1061b94b1889Sxiaofeibao-xjtu      }
1062b94b1889Sxiaofeibao-xjtu      when(vlmul === VLmul.m2) {
1063b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e64) {
1064b94b1889Sxiaofeibao-xjtu          val vlmax = 4
1065b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1066b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1067b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 2 == 0) src2 + (i/2).U else VECTOR_TMP_REG_LMUL.U)
1068b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 2 == 0) src2 + (i/2).U else if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1069b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1070b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_2 := (if (i % 2 == 0) false.B else true.B)
1071b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1072b94b1889Sxiaofeibao-xjtu          }
1073b94b1889Sxiaofeibao-xjtu        }
1074b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e32) {
1075b94b1889Sxiaofeibao-xjtu          val vlmax = 8
1076b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1077b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1078b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 4 == 0) src2 + (i/4).U else VECTOR_TMP_REG_LMUL.U)
1079b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 4 == 0) src2 + (i/4).U else if (i == vlmax - 1) dest else if (i % 4 == 1) Mux(isWiden, src2 + (i/4).U, VECTOR_TMP_REG_LMUL.U) else VECTOR_TMP_REG_LMUL.U)
1080b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1081b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_2 := isWiden && (if (i % 4 == 0) false.B else true.B)
1082b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := !isWiden && (if (i % 4 == 0) false.B else true.B)
1083b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1084b94b1889Sxiaofeibao-xjtu          }
1085b94b1889Sxiaofeibao-xjtu        }
1086b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e16) {
1087b94b1889Sxiaofeibao-xjtu          val vlmax = 16
1088b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1089b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1090b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 8 == 0) src2 + (i/8).U else VECTOR_TMP_REG_LMUL.U)
1091b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 8 == 0) src2 + (i/8).U else if (i == vlmax - 1) dest else if (i % 8 == 1) Mux(isWiden, src2 + (i/8).U, VECTOR_TMP_REG_LMUL.U) else VECTOR_TMP_REG_LMUL.U)
1092b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1093b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := isWiden && (if (i % 8 == 0) false.B else true.B)
1094b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_8 := !isWiden && (if (i % 8 == 0) false.B else true.B)
1095b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1096b94b1889Sxiaofeibao-xjtu          }
1097b94b1889Sxiaofeibao-xjtu        }
1098b94b1889Sxiaofeibao-xjtu      }
1099b94b1889Sxiaofeibao-xjtu      when(vlmul === VLmul.m1) {
1100b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e64) {
1101b94b1889Sxiaofeibao-xjtu          val vlmax = 2
1102b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1103b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1104b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 2 == 0) src2 + (i/2).U else VECTOR_TMP_REG_LMUL.U)
1105b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 2 == 0) src2 + (i/2).U else if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1106b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1107b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_2 := (if (i % 2 == 0) false.B else true.B)
1108b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1109b94b1889Sxiaofeibao-xjtu          }
1110b94b1889Sxiaofeibao-xjtu        }
1111b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e32) {
1112b94b1889Sxiaofeibao-xjtu          val vlmax = 4
1113b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1114b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1115b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 4 == 0) src2 + (i/4).U else VECTOR_TMP_REG_LMUL.U)
1116b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 4 == 0) src2 + (i/4).U else if (i == vlmax - 1) dest else if (i % 4 == 1) Mux(isWiden, src2 + (i/4).U, VECTOR_TMP_REG_LMUL.U) else VECTOR_TMP_REG_LMUL.U)
1117b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1118b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_2 := isWiden && (if (i % 4 == 0) false.B else true.B)
1119b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := !isWiden && (if (i % 4 == 0) false.B else true.B)
1120b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1121b94b1889Sxiaofeibao-xjtu          }
1122b94b1889Sxiaofeibao-xjtu        }
1123b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e16) {
1124b94b1889Sxiaofeibao-xjtu          val vlmax = 8
1125b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1126b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1127b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 8 == 0) src2 + (i/8).U else VECTOR_TMP_REG_LMUL.U)
1128b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 8 == 0) src2 + (i/8).U else if (i == vlmax - 1) dest else if (i % 8 == 1) Mux(isWiden, src2 + (i/8).U, VECTOR_TMP_REG_LMUL.U) else VECTOR_TMP_REG_LMUL.U)
1129b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1130b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := isWiden && (if (i % 8 == 0) false.B else true.B)
1131b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_8 := !isWiden && (if (i % 8 == 0) false.B else true.B)
1132b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1133b94b1889Sxiaofeibao-xjtu          }
1134b94b1889Sxiaofeibao-xjtu        }
1135b94b1889Sxiaofeibao-xjtu      }
1136b94b1889Sxiaofeibao-xjtu      when(vlmul === VLmul.mf2) {
1137b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e32) {
1138b94b1889Sxiaofeibao-xjtu          val vlmax = 2
1139b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1140b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1141b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 4 == 0) src2 + (i/4).U else VECTOR_TMP_REG_LMUL.U)
1142b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 4 == 0) src2 + (i/4).U else if (i == vlmax - 1) dest else if (i % 4 == 1) Mux(isWiden, src2 + (i/4).U, VECTOR_TMP_REG_LMUL.U) else VECTOR_TMP_REG_LMUL.U)
1143b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1144b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_2 := isWiden && (if (i % 4 == 0) false.B else true.B)
1145b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := !isWiden && (if (i % 4 == 0) false.B else true.B)
1146b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1147b94b1889Sxiaofeibao-xjtu          }
1148b94b1889Sxiaofeibao-xjtu        }
1149b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e16) {
1150b94b1889Sxiaofeibao-xjtu          val vlmax = 4
1151b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1152b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1153b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 8 == 0) src2 + (i/8).U else VECTOR_TMP_REG_LMUL.U)
1154b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 8 == 0) src2 + (i/8).U else if (i == vlmax - 1) dest else if (i % 8 == 1) Mux(isWiden, src2 + (i/8).U, VECTOR_TMP_REG_LMUL.U) else VECTOR_TMP_REG_LMUL.U)
1155b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1156b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := isWiden && (if (i % 8 == 0) false.B else true.B)
1157b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_8 := !isWiden && (if (i % 8 == 0) false.B else true.B)
1158b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1159b94b1889Sxiaofeibao-xjtu          }
1160b94b1889Sxiaofeibao-xjtu        }
1161b94b1889Sxiaofeibao-xjtu      }
1162b94b1889Sxiaofeibao-xjtu      when(vlmul === VLmul.mf4) {
1163b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e16) {
1164b94b1889Sxiaofeibao-xjtu          val vlmax = 2
1165b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1166b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1167b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 8 == 0) src2 + (i/8).U else VECTOR_TMP_REG_LMUL.U)
1168b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 8 == 0) src2 + (i/8).U else if (i == vlmax - 1) dest else if (i % 8 == 1) Mux(isWiden, src2 + (i/8).U, VECTOR_TMP_REG_LMUL.U) else VECTOR_TMP_REG_LMUL.U)
1169b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1170b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := isWiden && (if (i % 8 == 0) false.B else true.B)
1171b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_8 := !isWiden && (if (i % 8 == 0) false.B else true.B)
1172b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1173b94b1889Sxiaofeibao-xjtu          }
1174b94b1889Sxiaofeibao-xjtu        }
1175b94b1889Sxiaofeibao-xjtu      }
1176b94b1889Sxiaofeibao-xjtu    }
1177d6059658SZiyue Zhang
117817ec87f2SXuan Hu    is(UopSplitType.VEC_SLIDEUP) {
1179d6059658SZiyue Zhang      // i to vector move
1180d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
1181d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
1182d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
1183fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
1184fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
1185d6059658SZiyue Zhang      csBundle(0).fuOpType := Cat(Mux(src1IsImm, IF2VectorType.permImm2vector(2, 0), IF2VectorType.i2vector(2, 0)), vsewReg)
1186fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
1187d91483a6Sfdy      // LMUL
1188d91483a6Sfdy      for (i <- 0 until MAX_VLMUL)
1189d91483a6Sfdy        for (j <- 0 to i) {
11904ee69032SzhanglyGit          val old_vd = if (j == 0) {
11914ee69032SzhanglyGit            dest + i.U
1192fc85f18fSZiyue Zhang          } else (VECTOR_TMP_REG_LMUL + j).U
11934ee69032SzhanglyGit          val vd = if (j == i) {
11944ee69032SzhanglyGit            dest + i.U
1195fc85f18fSZiyue Zhang          } else (VECTOR_TMP_REG_LMUL + j + 1).U
1196fc85f18fSZiyue Zhang          csBundle(i * (i + 1) / 2 + j + 1).srcType(0) := SrcType.vp
1197fc85f18fSZiyue Zhang          csBundle(i * (i + 1) / 2 + j + 1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
1198d91483a6Sfdy          csBundle(i * (i + 1) / 2 + j + 1).lsrc(1) := src2 + j.U
1199d91483a6Sfdy          csBundle(i * (i + 1) / 2 + j + 1).lsrc(2) := old_vd
1200d91483a6Sfdy          csBundle(i * (i + 1) / 2 + j + 1).ldest := vd
1201d91483a6Sfdy          csBundle(i * (i + 1) / 2 + j + 1).uopIdx := (i * (i + 1) / 2 + j).U
1202d91483a6Sfdy        }
1203d91483a6Sfdy    }
1204d91483a6Sfdy
120517ec87f2SXuan Hu    is(UopSplitType.VEC_SLIDEDOWN) {
1206d6059658SZiyue Zhang      // i to vector move
1207d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
1208d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
1209d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
1210fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
1211fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
1212d6059658SZiyue Zhang      csBundle(0).fuOpType := Cat(Mux(src1IsImm, IF2VectorType.permImm2vector(2, 0), IF2VectorType.i2vector(2, 0)), vsewReg)
1213fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
1214d91483a6Sfdy      // LMUL
1215d91483a6Sfdy      for (i <- 0 until MAX_VLMUL)
1216d91483a6Sfdy        for (j <- (0 to i).reverse) {
1217d91483a6Sfdy          when(i.U < lmul) {
12184ee69032SzhanglyGit            val old_vd = if (j == 0) {
12194ee69032SzhanglyGit              dest + lmul - 1.U - i.U
1220fc85f18fSZiyue Zhang            } else (VECTOR_TMP_REG_LMUL + j).U
12214ee69032SzhanglyGit            val vd = if (j == i) {
12224ee69032SzhanglyGit              dest + lmul - 1.U - i.U
1223fc85f18fSZiyue Zhang            } else (VECTOR_TMP_REG_LMUL + j + 1).U
1224fc85f18fSZiyue Zhang            csBundle(numOfUop - (i * (i + 1) / 2 + i - j + 1).U).srcType(0) := SrcType.vp
1225fc85f18fSZiyue Zhang            csBundle(numOfUop - (i * (i + 1) / 2 + i - j + 1).U).lsrc(0) := VECTOR_TMP_REG_LMUL.U
1226d91483a6Sfdy            csBundle(numOfUop - (i * (i + 1) / 2 + i - j + 1).U).lsrc(1) := src2 + lmul - 1.U - j.U
1227d91483a6Sfdy            csBundle(numOfUop - (i * (i + 1) / 2 + i - j + 1).U).lsrc(2) := old_vd
1228d91483a6Sfdy            csBundle(numOfUop - (i * (i + 1) / 2 + i - j + 1).U).ldest := vd
1229d91483a6Sfdy            csBundle(numOfUop - (i * (i + 1) / 2 + i - j + 1).U).uopIdx := numOfUop - (i * (i + 1) / 2 + i - j + 2).U
1230d91483a6Sfdy          }
1231d91483a6Sfdy        }
1232d91483a6Sfdy    }
1233d91483a6Sfdy
123417ec87f2SXuan Hu    is(UopSplitType.VEC_M0X) {
1235d91483a6Sfdy      // LMUL
1236d91483a6Sfdy      for (i <- 0 until MAX_VLMUL) {
1237d91483a6Sfdy        val srcType0 = if (i == 0) SrcType.DC else SrcType.vp
1238d91483a6Sfdy        val ldest = (VECTOR_TMP_REG_LMUL + i).U
1239d91483a6Sfdy        csBundle(i).srcType(0) := srcType0
1240d91483a6Sfdy        csBundle(i).srcType(1) := SrcType.vp
1241d91483a6Sfdy        csBundle(i).rfWen := false.B
1242d91483a6Sfdy        csBundle(i).vecWen := true.B
1243d91483a6Sfdy        csBundle(i).lsrc(0) := (VECTOR_TMP_REG_LMUL + i - 1).U
1244d91483a6Sfdy        csBundle(i).lsrc(1) := src2
1245d91483a6Sfdy        // csBundle(i).lsrc(2) := dest + i.U  DontCare
1246d91483a6Sfdy        csBundle(i).ldest := ldest
1247d91483a6Sfdy        csBundle(i).uopIdx := i.U
1248d91483a6Sfdy      }
1249d91483a6Sfdy      csBundle(lmul - 1.U).vecWen := false.B
1250d91483a6Sfdy      csBundle(lmul - 1.U).fpWen := true.B
1251d91483a6Sfdy      csBundle(lmul - 1.U).ldest := FP_TMP_REG_MV.U
1252d91483a6Sfdy      // FMV_X_D
1253d91483a6Sfdy      csBundle(lmul).srcType(0) := SrcType.fp
1254d91483a6Sfdy      csBundle(lmul).srcType(1) := SrcType.imm
1255d91483a6Sfdy      csBundle(lmul).lsrc(0) := FP_TMP_REG_MV.U
1256d91483a6Sfdy      csBundle(lmul).lsrc(1) := 0.U
1257d91483a6Sfdy      csBundle(lmul).ldest := dest
1258d91483a6Sfdy      csBundle(lmul).fuType := FuType.fmisc.U
1259d91483a6Sfdy      csBundle(lmul).rfWen := true.B
1260d91483a6Sfdy      csBundle(lmul).fpWen := false.B
1261d91483a6Sfdy      csBundle(lmul).vecWen := false.B
1262d91483a6Sfdy      csBundle(lmul).fpu.isAddSub := false.B
1263d91483a6Sfdy      csBundle(lmul).fpu.typeTagIn := FPU.D
1264d91483a6Sfdy      csBundle(lmul).fpu.typeTagOut := FPU.D
1265d91483a6Sfdy      csBundle(lmul).fpu.fromInt := false.B
1266d91483a6Sfdy      csBundle(lmul).fpu.wflags := false.B
1267d91483a6Sfdy      csBundle(lmul).fpu.fpWen := false.B
1268d91483a6Sfdy      csBundle(lmul).fpu.div := false.B
1269d91483a6Sfdy      csBundle(lmul).fpu.sqrt := false.B
1270d91483a6Sfdy      csBundle(lmul).fpu.fcvt := false.B
1271d91483a6Sfdy    }
1272d91483a6Sfdy
127317ec87f2SXuan Hu    is(UopSplitType.VEC_MVV) {
1274d91483a6Sfdy      // LMUL
1275d91483a6Sfdy      for (i <- 0 until MAX_VLMUL) {
1276d91483a6Sfdy        val srcType0 = if (i == 0) SrcType.DC else SrcType.vp
1277d91483a6Sfdy        csBundle(i * 2 + 0).srcType(0) := srcType0
1278d91483a6Sfdy        csBundle(i * 2 + 0).srcType(1) := SrcType.vp
1279d91483a6Sfdy        csBundle(i * 2 + 0).lsrc(0) := (VECTOR_TMP_REG_LMUL + i - 1).U
1280d91483a6Sfdy        csBundle(i * 2 + 0).lsrc(1) := src2
1281d91483a6Sfdy        csBundle(i * 2 + 0).lsrc(2) := dest + i.U
1282d91483a6Sfdy        csBundle(i * 2 + 0).ldest := dest + i.U
1283d91483a6Sfdy        csBundle(i * 2 + 0).uopIdx := (i * 2 + 0).U
1284d91483a6Sfdy
1285d91483a6Sfdy        csBundle(i * 2 + 1).srcType(0) := srcType0
1286d91483a6Sfdy        csBundle(i * 2 + 1).srcType(1) := SrcType.vp
1287d91483a6Sfdy        csBundle(i * 2 + 1).lsrc(0) := (VECTOR_TMP_REG_LMUL + i - 1).U
1288d91483a6Sfdy        csBundle(i * 2 + 1).lsrc(1) := src2
1289d91483a6Sfdy        // csBundle(i).lsrc(2) := dest + i.U  DontCare
1290d91483a6Sfdy        csBundle(i * 2 + 1).ldest := (VECTOR_TMP_REG_LMUL + i).U
1291d91483a6Sfdy        csBundle(i * 2 + 1).uopIdx := (i * 2 + 1).U
1292d91483a6Sfdy      }
1293d91483a6Sfdy    }
1294d91483a6Sfdy
129517ec87f2SXuan Hu    is(UopSplitType.VEC_M0X_VFIRST) {
1296d91483a6Sfdy      // LMUL
1297d91483a6Sfdy      csBundle(0).rfWen := false.B
1298d91483a6Sfdy      csBundle(0).fpWen := true.B
1299d91483a6Sfdy      csBundle(0).ldest := FP_TMP_REG_MV.U
1300d91483a6Sfdy      // FMV_X_D
1301d91483a6Sfdy      csBundle(1).srcType(0) := SrcType.fp
1302d91483a6Sfdy      csBundle(1).srcType(1) := SrcType.imm
1303d91483a6Sfdy      csBundle(1).lsrc(0) := FP_TMP_REG_MV.U
1304d91483a6Sfdy      csBundle(1).lsrc(1) := 0.U
1305d91483a6Sfdy      csBundle(1).ldest := dest
1306d91483a6Sfdy      csBundle(1).fuType := FuType.fmisc.U
1307d91483a6Sfdy      csBundle(1).rfWen := true.B
1308d91483a6Sfdy      csBundle(1).fpWen := false.B
1309d91483a6Sfdy      csBundle(1).vecWen := false.B
1310d91483a6Sfdy      csBundle(1).fpu.isAddSub := false.B
1311d91483a6Sfdy      csBundle(1).fpu.typeTagIn := FPU.D
1312d91483a6Sfdy      csBundle(1).fpu.typeTagOut := FPU.D
1313d91483a6Sfdy      csBundle(1).fpu.fromInt := false.B
1314d91483a6Sfdy      csBundle(1).fpu.wflags := false.B
1315d91483a6Sfdy      csBundle(1).fpu.fpWen := false.B
1316d91483a6Sfdy      csBundle(1).fpu.div := false.B
1317d91483a6Sfdy      csBundle(1).fpu.sqrt := false.B
1318d91483a6Sfdy      csBundle(1).fpu.fcvt := false.B
1319d91483a6Sfdy    }
1320189ec863SzhanglyGit    is(UopSplitType.VEC_VWW) {
1321189ec863SzhanglyGit      for (i <- 0 until MAX_VLMUL*2) {
1322189ec863SzhanglyGit        when(i.U < lmul){
1323189ec863SzhanglyGit          csBundle(i).srcType(2) := SrcType.DC
1324189ec863SzhanglyGit          csBundle(i).lsrc(0) := src2 + i.U
1325189ec863SzhanglyGit          csBundle(i).lsrc(1) := src2 + i.U
1326189ec863SzhanglyGit          // csBundle(i).lsrc(2) := dest + (2 * i).U
1327189ec863SzhanglyGit          csBundle(i).ldest := (VECTOR_TMP_REG_LMUL + i).U
1328189ec863SzhanglyGit          csBundle(i).uopIdx :=  i.U
1329189ec863SzhanglyGit        } otherwise {
1330189ec863SzhanglyGit          csBundle(i).srcType(2) := SrcType.DC
1331189ec863SzhanglyGit          csBundle(i).lsrc(0) := VECTOR_TMP_REG_LMUL.U + Cat((i.U-lmul),0.U(1.W)) + 1.U
1332189ec863SzhanglyGit          csBundle(i).lsrc(1) := VECTOR_TMP_REG_LMUL.U + Cat((i.U-lmul),0.U(1.W))
1333189ec863SzhanglyGit          // csBundle(i).lsrc(2) := dest + (2 * i).U
1334189ec863SzhanglyGit          csBundle(i).ldest := (VECTOR_TMP_REG_LMUL + i).U
1335189ec863SzhanglyGit          csBundle(i).uopIdx := i.U
1336189ec863SzhanglyGit        }
1337189ec863SzhanglyGit        csBundle(numOfUop-1.U).srcType(2) := SrcType.vp
1338189ec863SzhanglyGit        csBundle(numOfUop-1.U).lsrc(0) := src1
1339189ec863SzhanglyGit        csBundle(numOfUop-1.U).lsrc(2) := dest
1340189ec863SzhanglyGit        csBundle(numOfUop-1.U).ldest := dest
1341189ec863SzhanglyGit      }
1342189ec863SzhanglyGit    }
1343189ec863SzhanglyGit    is(UopSplitType.VEC_RGATHER) {
1344189ec863SzhanglyGit      def genCsBundle_VEC_RGATHER(len:Int): Unit ={
1345189ec863SzhanglyGit        for (i <- 0 until len)
1346189ec863SzhanglyGit          for (j <- 0 until len) {
1347189ec863SzhanglyGit            // csBundle(i * len + j).srcType(0) := SrcType.vp // SrcType.imm
1348189ec863SzhanglyGit            // csBundle(i * len + j).srcType(1) := SrcType.vp
1349189ec863SzhanglyGit            // csBundle(i * len + j).srcType(2) := SrcType.vp
1350189ec863SzhanglyGit            csBundle(i * len + j).lsrc(0) := src1 + i.U
1351189ec863SzhanglyGit            csBundle(i * len + j).lsrc(1) := src2 + j.U
1352189ec863SzhanglyGit            val vd_old = if(j==0) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j - 1).U
1353189ec863SzhanglyGit            csBundle(i * len + j).lsrc(2) := vd_old
1354189ec863SzhanglyGit            val vd = if(j==len-1) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j).U
1355189ec863SzhanglyGit            csBundle(i * len + j).ldest := vd
1356189ec863SzhanglyGit            csBundle(i * len + j).uopIdx := (i * len + j).U
1357189ec863SzhanglyGit          }
1358189ec863SzhanglyGit      }
1359aaa08c5aSxiaofeibao-xjtu      switch(vlmulReg) {
1360189ec863SzhanglyGit        is("b001".U ){
1361189ec863SzhanglyGit          genCsBundle_VEC_RGATHER(2)
1362189ec863SzhanglyGit        }
1363189ec863SzhanglyGit        is("b010".U ){
1364189ec863SzhanglyGit          genCsBundle_VEC_RGATHER(4)
1365189ec863SzhanglyGit        }
1366189ec863SzhanglyGit        is("b011".U ){
1367189ec863SzhanglyGit          genCsBundle_VEC_RGATHER(8)
1368189ec863SzhanglyGit        }
1369189ec863SzhanglyGit      }
1370189ec863SzhanglyGit    }
1371189ec863SzhanglyGit    is(UopSplitType.VEC_RGATHER_VX) {
1372189ec863SzhanglyGit      def genCsBundle_RGATHER_VX(len:Int): Unit ={
1373189ec863SzhanglyGit        for (i <- 0 until len)
1374189ec863SzhanglyGit          for (j <- 0 until len) {
1375fc85f18fSZiyue Zhang            csBundle(i * len + j + 1).srcType(0) := SrcType.vp
1376189ec863SzhanglyGit            // csBundle(i * len + j + 1).srcType(1) := SrcType.vp
1377189ec863SzhanglyGit            // csBundle(i * len + j + 1).srcType(2) := SrcType.vp
1378fc85f18fSZiyue Zhang            csBundle(i * len + j + 1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
1379189ec863SzhanglyGit            csBundle(i * len + j + 1).lsrc(1) := src2 + j.U
1380fc85f18fSZiyue Zhang            val vd_old = if(j==0) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j).U
1381189ec863SzhanglyGit            csBundle(i * len + j + 1).lsrc(2) := vd_old
1382fc85f18fSZiyue Zhang            val vd = if(j==len-1) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j + 1).U
1383189ec863SzhanglyGit            csBundle(i * len + j + 1).ldest := vd
1384189ec863SzhanglyGit            csBundle(i * len + j + 1).uopIdx := (i * len + j).U
1385189ec863SzhanglyGit          }
1386189ec863SzhanglyGit      }
1387d6059658SZiyue Zhang      // i to vector move
1388189ec863SzhanglyGit      csBundle(0).srcType(0) := SrcType.reg
1389189ec863SzhanglyGit      csBundle(0).srcType(1) := SrcType.imm
1390189ec863SzhanglyGit      csBundle(0).lsrc(1) := 0.U
1391fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
1392fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
1393d6059658SZiyue Zhang      csBundle(0).fuOpType := Cat(Mux(src1IsImm, IF2VectorType.permImm2vector(2, 0), IF2VectorType.i2vector(2, 0)), vsewReg)
1394fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
1395aaa08c5aSxiaofeibao-xjtu      switch(vlmulReg) {
1396189ec863SzhanglyGit        is("b000".U ){
1397189ec863SzhanglyGit          genCsBundle_RGATHER_VX(1)
1398189ec863SzhanglyGit        }
1399189ec863SzhanglyGit        is("b001".U ){
1400189ec863SzhanglyGit          genCsBundle_RGATHER_VX(2)
1401189ec863SzhanglyGit        }
1402189ec863SzhanglyGit        is("b010".U ){
1403189ec863SzhanglyGit          genCsBundle_RGATHER_VX(4)
1404189ec863SzhanglyGit        }
1405189ec863SzhanglyGit        is("b011".U ){
1406189ec863SzhanglyGit          genCsBundle_RGATHER_VX(8)
1407189ec863SzhanglyGit        }
1408189ec863SzhanglyGit      }
1409189ec863SzhanglyGit    }
1410189ec863SzhanglyGit    is(UopSplitType.VEC_RGATHEREI16) {
1411189ec863SzhanglyGit      def genCsBundle_VEC_RGATHEREI16_SEW8(len:Int): Unit ={
1412189ec863SzhanglyGit        for (i <- 0 until len)
1413189ec863SzhanglyGit          for (j <- 0 until len) {
1414189ec863SzhanglyGit            val vd_old0 = if(j==0) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j*2-1).U
1415189ec863SzhanglyGit            val vd0 = (VECTOR_TMP_REG_LMUL + j*2 ).U
1416189ec863SzhanglyGit            // csBundle(i * len + j).srcType(0) := SrcType.vp // SrcType.imm
1417189ec863SzhanglyGit            // csBundle(i * len + j).srcType(1) := SrcType.vp
1418189ec863SzhanglyGit            // csBundle(i * len + j).srcType(2) := SrcType.vp
1419189ec863SzhanglyGit            csBundle((i * len + j)*2+0).lsrc(0) := src1 + (i*2+0).U
1420189ec863SzhanglyGit            csBundle((i * len + j)*2+0).lsrc(1) := src2 + j.U
1421189ec863SzhanglyGit            csBundle((i * len + j)*2+0).lsrc(2) := vd_old0
1422189ec863SzhanglyGit            csBundle((i * len + j)*2+0).ldest := vd0
1423189ec863SzhanglyGit            csBundle((i * len + j)*2+0).uopIdx := ((i * len + j)*2+0).U
1424189ec863SzhanglyGit            val vd_old1 = (VECTOR_TMP_REG_LMUL + j*2).U
1425189ec863SzhanglyGit            val vd1 = if(j==len-1) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j*2+1 ).U
1426189ec863SzhanglyGit            csBundle((i * len + j)*2+1).lsrc(0) := src1 + (i*2+1).U
1427189ec863SzhanglyGit            csBundle((i * len + j)*2+1).lsrc(1) := src2 + j.U
1428189ec863SzhanglyGit            csBundle((i * len + j)*2+1).lsrc(2) := vd_old1
1429189ec863SzhanglyGit            csBundle((i * len + j)*2+1).ldest := vd1
1430189ec863SzhanglyGit            csBundle((i * len + j)*2+1).uopIdx := ((i * len + j)*2+1).U
1431189ec863SzhanglyGit          }
1432189ec863SzhanglyGit      }
1433189ec863SzhanglyGit      def genCsBundle_VEC_RGATHEREI16(len:Int): Unit ={
1434189ec863SzhanglyGit        for (i <- 0 until len)
1435189ec863SzhanglyGit          for (j <- 0 until len) {
1436189ec863SzhanglyGit            val vd_old = if(j==0) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j-1).U
1437189ec863SzhanglyGit            val vd = if(j==len-1) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j).U
1438189ec863SzhanglyGit            // csBundle(i * len + j).srcType(0) := SrcType.vp // SrcType.imm
1439189ec863SzhanglyGit            // csBundle(i * len + j).srcType(1) := SrcType.vp
1440189ec863SzhanglyGit            // csBundle(i * len + j).srcType(2) := SrcType.vp
1441189ec863SzhanglyGit            csBundle(i * len + j).lsrc(0) := src1 + i.U
1442189ec863SzhanglyGit            csBundle(i * len + j).lsrc(1) := src2 + j.U
1443189ec863SzhanglyGit            csBundle(i * len + j).lsrc(2) := vd_old
1444189ec863SzhanglyGit            csBundle(i * len + j).ldest := vd
1445189ec863SzhanglyGit            csBundle(i * len + j).uopIdx := (i * len + j).U
1446189ec863SzhanglyGit          }
1447189ec863SzhanglyGit      }
1448aaa08c5aSxiaofeibao-xjtu      switch(vlmulReg) {
1449189ec863SzhanglyGit        is("b000".U ){
1450aaa08c5aSxiaofeibao-xjtu          when(!vsewReg.orR){
1451189ec863SzhanglyGit            genCsBundle_VEC_RGATHEREI16_SEW8(1)
1452189ec863SzhanglyGit          } .otherwise{
1453189ec863SzhanglyGit            genCsBundle_VEC_RGATHEREI16(1)
1454189ec863SzhanglyGit          }
1455189ec863SzhanglyGit        }
1456189ec863SzhanglyGit        is("b001".U) {
1457aaa08c5aSxiaofeibao-xjtu          when(!vsewReg.orR) {
1458189ec863SzhanglyGit            genCsBundle_VEC_RGATHEREI16_SEW8(2)
1459189ec863SzhanglyGit          }.otherwise {
1460189ec863SzhanglyGit            genCsBundle_VEC_RGATHEREI16(2)
1461189ec863SzhanglyGit          }
1462189ec863SzhanglyGit        }
1463189ec863SzhanglyGit        is("b010".U) {
1464aaa08c5aSxiaofeibao-xjtu          when(!vsewReg.orR) {
1465189ec863SzhanglyGit            genCsBundle_VEC_RGATHEREI16_SEW8(4)
1466189ec863SzhanglyGit          }.otherwise {
1467189ec863SzhanglyGit            genCsBundle_VEC_RGATHEREI16(4)
1468189ec863SzhanglyGit          }
1469189ec863SzhanglyGit        }
1470189ec863SzhanglyGit        is("b011".U) {
1471189ec863SzhanglyGit          genCsBundle_VEC_RGATHEREI16(8)
1472189ec863SzhanglyGit        }
1473189ec863SzhanglyGit      }
1474189ec863SzhanglyGit    }
1475189ec863SzhanglyGit    is(UopSplitType.VEC_COMPRESS) {
1476189ec863SzhanglyGit      def genCsBundle_VEC_COMPRESS(len:Int): Unit ={
1477189ec863SzhanglyGit        for (i <- 0 until len){
1478189ec863SzhanglyGit          val jlen = if (i == len-1) i+1 else i+2
1479189ec863SzhanglyGit          for (j <- 0 until jlen) {
1480189ec863SzhanglyGit            val vd_old = if(i==j) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j + 1).U
1481189ec863SzhanglyGit            val vd = if(i==len-1) (dest + j.U) else{
1482189ec863SzhanglyGit              if (j == i+1) VECTOR_TMP_REG_LMUL.U else (VECTOR_TMP_REG_LMUL + j + 1).U
1483189ec863SzhanglyGit            }
1484189ec863SzhanglyGit            val src23Type = if (j == i+1) DontCare else SrcType.vp
1485189ec863SzhanglyGit            csBundle(i*(i+3)/2 + j).srcType(0) := SrcType.vp
1486189ec863SzhanglyGit            csBundle(i*(i+3)/2 + j).srcType(1) := src23Type
1487189ec863SzhanglyGit            csBundle(i*(i+3)/2 + j).srcType(2) := src23Type
1488189ec863SzhanglyGit            csBundle(i*(i+3)/2 + j).lsrc(0) := src1
1489189ec863SzhanglyGit            csBundle(i*(i+3)/2 + j).lsrc(1) := src2 + i.U
1490189ec863SzhanglyGit            csBundle(i*(i+3)/2 + j).lsrc(2) := vd_old
1491189ec863SzhanglyGit            // csBundle(i*(i+3)/2 + j).lsrc(3) := VECTOR_TMP_REG_LMUL.U
1492189ec863SzhanglyGit            csBundle(i*(i+3)/2 + j).ldest := vd
1493189ec863SzhanglyGit            csBundle(i*(i+3)/2 + j).uopIdx := (i*(i+3)/2 + j).U
1494189ec863SzhanglyGit          }
1495189ec863SzhanglyGit        }
1496189ec863SzhanglyGit      }
1497aaa08c5aSxiaofeibao-xjtu      switch(vlmulReg) {
1498189ec863SzhanglyGit        is("b001".U ){
1499189ec863SzhanglyGit          genCsBundle_VEC_COMPRESS(2)
1500189ec863SzhanglyGit        }
1501189ec863SzhanglyGit        is("b010".U ){
1502189ec863SzhanglyGit          genCsBundle_VEC_COMPRESS(4)
1503189ec863SzhanglyGit        }
1504189ec863SzhanglyGit        is("b011".U ){
1505189ec863SzhanglyGit          genCsBundle_VEC_COMPRESS(8)
1506189ec863SzhanglyGit        }
1507189ec863SzhanglyGit      }
1508189ec863SzhanglyGit    }
15090a34fc22SZiyue Zhang    is(UopSplitType.VEC_MVNR) {
15100a34fc22SZiyue Zhang      for (i <- 0 until MAX_VLMUL) {
15110a34fc22SZiyue Zhang        csBundle(i).lsrc(0) := src1 + i.U
15120a34fc22SZiyue Zhang        csBundle(i).lsrc(1) := src2 + i.U
15130a34fc22SZiyue Zhang        csBundle(i).lsrc(2) := dest + i.U
15140a34fc22SZiyue Zhang        csBundle(i).ldest := dest + i.U
15150a34fc22SZiyue Zhang        csBundle(i).uopIdx := i.U
15160a34fc22SZiyue Zhang      }
15170a34fc22SZiyue Zhang    }
1518c4501a6fSZiyue-Zhang    is(UopSplitType.VEC_US_LDST) {
15194ee69032SzhanglyGit      /*
15204ee69032SzhanglyGit      FMV.D.X
15214ee69032SzhanglyGit       */
15224ee69032SzhanglyGit      csBundle(0).srcType(0) := SrcType.reg
15234ee69032SzhanglyGit      csBundle(0).srcType(1) := SrcType.imm
15244ee69032SzhanglyGit      csBundle(0).lsrc(1) := 0.U
15254ee69032SzhanglyGit      csBundle(0).ldest := FP_TMP_REG_MV.U
15264ee69032SzhanglyGit      csBundle(0).fuType := FuType.i2f.U
15274ee69032SzhanglyGit      csBundle(0).rfWen := false.B
15284ee69032SzhanglyGit      csBundle(0).fpWen := true.B
15294ee69032SzhanglyGit      csBundle(0).vecWen := false.B
15304ee69032SzhanglyGit      csBundle(0).fpu.isAddSub := false.B
15314ee69032SzhanglyGit      csBundle(0).fpu.typeTagIn := FPU.D
15324ee69032SzhanglyGit      csBundle(0).fpu.typeTagOut := FPU.D
15334ee69032SzhanglyGit      csBundle(0).fpu.fromInt := true.B
15344ee69032SzhanglyGit      csBundle(0).fpu.wflags := false.B
15354ee69032SzhanglyGit      csBundle(0).fpu.fpWen := true.B
15364ee69032SzhanglyGit      csBundle(0).fpu.div := false.B
15374ee69032SzhanglyGit      csBundle(0).fpu.sqrt := false.B
15384ee69032SzhanglyGit      csBundle(0).fpu.fcvt := false.B
15394ee69032SzhanglyGit      //LMUL
15404ee69032SzhanglyGit      for (i <- 0 until MAX_VLMUL) {
15414ee69032SzhanglyGit        csBundle(i + 1).srcType(0) := SrcType.fp
15424ee69032SzhanglyGit        csBundle(i + 1).lsrc(0) := FP_TMP_REG_MV.U
15434dfab1f2Szhanglinjuan        csBundle(i + 1).lsrc(2) := dest + i.U // old vd
15444ee69032SzhanglyGit        csBundle(i + 1).ldest := dest + i.U
15454ee69032SzhanglyGit        csBundle(i + 1).uopIdx := i.U
15464ee69032SzhanglyGit      }
15474ee69032SzhanglyGit    }
1548c4501a6fSZiyue-Zhang    is(UopSplitType.VEC_S_LDST) {
1549c4501a6fSZiyue-Zhang      /*
1550c4501a6fSZiyue-Zhang      FMV.D.X
1551c4501a6fSZiyue-Zhang       */
1552c4501a6fSZiyue-Zhang      csBundle(0).srcType(0) := SrcType.reg
1553c4501a6fSZiyue-Zhang      csBundle(0).srcType(1) := SrcType.imm
1554c4501a6fSZiyue-Zhang      csBundle(0).lsrc(1) := 0.U
1555c4501a6fSZiyue-Zhang      csBundle(0).ldest := FP_TMP_REG_MV.U
1556c4501a6fSZiyue-Zhang      csBundle(0).fuType := FuType.i2f.U
1557c4501a6fSZiyue-Zhang      csBundle(0).rfWen := false.B
1558c4501a6fSZiyue-Zhang      csBundle(0).fpWen := true.B
1559c4501a6fSZiyue-Zhang      csBundle(0).vecWen := false.B
1560c4501a6fSZiyue-Zhang      csBundle(0).fpu.isAddSub := false.B
1561c4501a6fSZiyue-Zhang      csBundle(0).fpu.typeTagIn := FPU.D
1562c4501a6fSZiyue-Zhang      csBundle(0).fpu.typeTagOut := FPU.D
1563c4501a6fSZiyue-Zhang      csBundle(0).fpu.fromInt := true.B
1564c4501a6fSZiyue-Zhang      csBundle(0).fpu.wflags := false.B
1565c4501a6fSZiyue-Zhang      csBundle(0).fpu.fpWen := true.B
1566c4501a6fSZiyue-Zhang      csBundle(0).fpu.div := false.B
1567c4501a6fSZiyue-Zhang      csBundle(0).fpu.sqrt := false.B
1568c4501a6fSZiyue-Zhang      csBundle(0).fpu.fcvt := false.B
1569c4501a6fSZiyue-Zhang
15706a926cf7SXuan Hu      csBundle(1).srcType(0) := SrcType.reg
15716a926cf7SXuan Hu      csBundle(1).srcType(1) := SrcType.imm
1572*e25c13faSXuan Hu      csBundle(1).lsrc(0) := latchedInst.lsrc(1)
15736a926cf7SXuan Hu      csBundle(1).lsrc(1) := 0.U
1574c4501a6fSZiyue-Zhang      csBundle(1).ldest := VECTOR_TMP_REG_LMUL.U
1575c4501a6fSZiyue-Zhang      csBundle(1).fuType := FuType.i2f.U
1576c4501a6fSZiyue-Zhang      csBundle(1).rfWen := false.B
1577c4501a6fSZiyue-Zhang      csBundle(1).fpWen := true.B
1578c4501a6fSZiyue-Zhang      csBundle(1).vecWen := false.B
1579c4501a6fSZiyue-Zhang      csBundle(1).fpu.isAddSub := false.B
1580c4501a6fSZiyue-Zhang      csBundle(1).fpu.typeTagIn := FPU.D
1581c4501a6fSZiyue-Zhang      csBundle(1).fpu.typeTagOut := FPU.D
1582c4501a6fSZiyue-Zhang      csBundle(1).fpu.fromInt := true.B
1583c4501a6fSZiyue-Zhang      csBundle(1).fpu.wflags := false.B
1584c4501a6fSZiyue-Zhang      csBundle(1).fpu.fpWen := true.B
1585c4501a6fSZiyue-Zhang      csBundle(1).fpu.div := false.B
1586c4501a6fSZiyue-Zhang      csBundle(1).fpu.sqrt := false.B
1587c4501a6fSZiyue-Zhang      csBundle(1).fpu.fcvt := false.B
1588c4501a6fSZiyue-Zhang
1589c4501a6fSZiyue-Zhang      //LMUL
1590c4501a6fSZiyue-Zhang      for (i <- 0 until MAX_VLMUL) {
1591c4501a6fSZiyue-Zhang        csBundle(i + 2).srcType(0) := SrcType.fp
15926a926cf7SXuan Hu        csBundle(i + 2).srcType(1) := SrcType.fp
1593c4501a6fSZiyue-Zhang        csBundle(i + 2).lsrc(0) := FP_TMP_REG_MV.U
1594c4501a6fSZiyue-Zhang        csBundle(i + 2).lsrc(1) := VECTOR_TMP_REG_LMUL.U
15954dfab1f2Szhanglinjuan        csBundle(i + 2).lsrc(2) := dest + i.U // old vd
1596c4501a6fSZiyue-Zhang        csBundle(i + 2).ldest := dest + i.U
1597c4501a6fSZiyue-Zhang        csBundle(i + 2).uopIdx := i.U
1598c4501a6fSZiyue-Zhang      }
1599c4501a6fSZiyue-Zhang    }
1600c4501a6fSZiyue-Zhang    is(UopSplitType.VEC_I_LDST) {
1601c4501a6fSZiyue-Zhang    /*
1602c4501a6fSZiyue-Zhang      FMV.D.X
1603c4501a6fSZiyue-Zhang       */
1604c4501a6fSZiyue-Zhang      val vlmul = vlmulReg
16053cb76c96Szhanglinjuan      val vsew = Cat(0.U(1.W), vsewReg)
1606c4501a6fSZiyue-Zhang      val veew = Cat(0.U(1.W), width)
1607c4501a6fSZiyue-Zhang      val vemul: UInt = veew.asUInt + 1.U + vlmul.asUInt + ~vsew.asUInt
1608c4501a6fSZiyue-Zhang      val simple_lmul = MuxLookup(vlmul, 0.U(2.W), Array(
1609c4501a6fSZiyue-Zhang        "b001".U -> 1.U,
1610c4501a6fSZiyue-Zhang        "b010".U -> 2.U,
1611c4501a6fSZiyue-Zhang        "b011".U -> 3.U
1612c4501a6fSZiyue-Zhang      ))
1613c4501a6fSZiyue-Zhang      val simple_emul = MuxLookup(vemul, 0.U(2.W), Array(
1614c4501a6fSZiyue-Zhang        "b001".U -> 1.U,
1615c4501a6fSZiyue-Zhang        "b010".U -> 2.U,
1616c4501a6fSZiyue-Zhang        "b011".U -> 3.U
1617c4501a6fSZiyue-Zhang      ))
1618c4501a6fSZiyue-Zhang      csBundle(0).srcType(0) := SrcType.reg
1619c4501a6fSZiyue-Zhang      csBundle(0).srcType(1) := SrcType.imm
1620c4501a6fSZiyue-Zhang      csBundle(0).lsrc(1) := 0.U
1621c4501a6fSZiyue-Zhang      csBundle(0).ldest := FP_TMP_REG_MV.U
1622c4501a6fSZiyue-Zhang      csBundle(0).fuType := FuType.i2f.U
1623c4501a6fSZiyue-Zhang      csBundle(0).rfWen := false.B
1624c4501a6fSZiyue-Zhang      csBundle(0).fpWen := true.B
1625c4501a6fSZiyue-Zhang      csBundle(0).vecWen := false.B
1626c4501a6fSZiyue-Zhang      csBundle(0).fpu.isAddSub := false.B
1627c4501a6fSZiyue-Zhang      csBundle(0).fpu.typeTagIn := FPU.D
1628c4501a6fSZiyue-Zhang      csBundle(0).fpu.typeTagOut := FPU.D
1629c4501a6fSZiyue-Zhang      csBundle(0).fpu.fromInt := true.B
1630c4501a6fSZiyue-Zhang      csBundle(0).fpu.wflags := false.B
1631c4501a6fSZiyue-Zhang      csBundle(0).fpu.fpWen := true.B
1632c4501a6fSZiyue-Zhang      csBundle(0).fpu.div := false.B
1633c4501a6fSZiyue-Zhang      csBundle(0).fpu.sqrt := false.B
1634c4501a6fSZiyue-Zhang      csBundle(0).fpu.fcvt := false.B
1635c4501a6fSZiyue-Zhang
1636c4501a6fSZiyue-Zhang      //LMUL
1637c4501a6fSZiyue-Zhang      for (i <- 0 until MAX_INDEXED_LS_UOPNUM) {
1638c4501a6fSZiyue-Zhang        indexedLSRegOffset(i).src := Cat(simple_emul, simple_lmul, nf)
1639c4501a6fSZiyue-Zhang        val offsetVs2 = indexedLSRegOffset(i).outOffsetVs2
1640c4501a6fSZiyue-Zhang        val offsetVd = indexedLSRegOffset(i).outOffsetVd
16417e0af973Szhanglinjuan        val isFirstUopInVd = indexedLSRegOffset(i).outIsFirstUopInVd
1642c4501a6fSZiyue-Zhang        csBundle(i + 1).srcType(0) := SrcType.fp
1643c4501a6fSZiyue-Zhang        csBundle(i + 1).lsrc(0) := FP_TMP_REG_MV.U
1644c4501a6fSZiyue-Zhang        csBundle(i + 1).lsrc(1) := Mux1H(UIntToOH(offsetVs2, MAX_VLMUL), (0 until MAX_VLMUL).map(j => src2 + j.U))
16457e0af973Szhanglinjuan        /**
16467e0af973Szhanglinjuan          * For indexed instructions, VLSU will concatenate all the uops that write the same logic vd register and
16477e0af973Szhanglinjuan          * writeback only once for all these uops. However, these uops share the same lsrc(2)/old vd and the same
16487e0af973Szhanglinjuan          * ldest/vd that is equal to old vd, which leads to data dependence between the uops. Therefore there will be
16497e0af973Szhanglinjuan          * deadlock for indexed instructions with emul > lmul.
16507e0af973Szhanglinjuan          *
16517e0af973Szhanglinjuan          * Assume N = emul/lmul. To break the deadlock, only the first uop will read old vd as lsrc(2), and the rest
16527e0af973Szhanglinjuan          * N-1 uops will read temporary vector register.
16537e0af973Szhanglinjuan          */
16547e0af973Szhanglinjuan        // csBundle(i + 1).lsrc(2) := Mux1H(UIntToOH(offsetVd, MAX_VLMUL), (0 until MAX_VLMUL).map(j => dest + j.U))
16557e0af973Szhanglinjuan        csBundle(i + 1).lsrc(2) := Mux(
16567e0af973Szhanglinjuan          isFirstUopInVd,
16577e0af973Szhanglinjuan          Mux1H(UIntToOH(offsetVd, MAX_VLMUL), (0 until MAX_VLMUL).map(j => dest + j.U)),
16587e0af973Szhanglinjuan          VECTOR_TMP_REG_LMUL.U
16597e0af973Szhanglinjuan        )
1660c4501a6fSZiyue-Zhang        csBundle(i + 1).ldest := Mux1H(UIntToOH(offsetVd, MAX_VLMUL), (0 until MAX_VLMUL).map(j => dest + j.U))
1661c4501a6fSZiyue-Zhang        csBundle(i + 1).uopIdx := i.U
1662c4501a6fSZiyue-Zhang      }
1663c4501a6fSZiyue-Zhang    }
1664d91483a6Sfdy  }
1665d91483a6Sfdy
1666d91483a6Sfdy  //readyFromRename Counter
1667*e25c13faSXuan Hu  val readyCounter = PriorityMuxDefault(outReadys.map(x => !x).zip((0 until RenameWidth).map(_.U)), RenameWidth.U)
1668*e25c13faSXuan Hu
1669*e25c13faSXuan Hu  // The left uops of the complex inst in ComplexDecoder can be send out this cycle
1670*e25c13faSXuan Hu  val thisAllOut = uopRes <= readyCounter
1671d91483a6Sfdy
1672189ec863SzhanglyGit  switch(state) {
1673*e25c13faSXuan Hu    is(s_idle) {
1674*e25c13faSXuan Hu      when (inValid) {
1675*e25c13faSXuan Hu        stateNext := s_active
1676*e25c13faSXuan Hu        uopResNext := inUopInfo.numOfUop
1677d91483a6Sfdy      }
1678*e25c13faSXuan Hu    }
1679*e25c13faSXuan Hu    is(s_active) {
1680*e25c13faSXuan Hu      when (thisAllOut) {
1681*e25c13faSXuan Hu        when (inValid) {
1682*e25c13faSXuan Hu          stateNext := s_active
1683*e25c13faSXuan Hu          uopResNext := inUopInfo.numOfUop
1684*e25c13faSXuan Hu        }.otherwise {
1685*e25c13faSXuan Hu          stateNext := s_idle
1686*e25c13faSXuan Hu          uopResNext := 0.U
1687*e25c13faSXuan Hu        }
1688*e25c13faSXuan Hu      }.otherwise {
1689*e25c13faSXuan Hu        stateNext := s_active
1690*e25c13faSXuan Hu        uopResNext := uopRes - readyCounter
1691*e25c13faSXuan Hu      }
1692d91483a6Sfdy    }
1693d91483a6Sfdy  }
1694d91483a6Sfdy
1695*e25c13faSXuan Hu  state := Mux(io.redirect, s_idle, stateNext)
1696*e25c13faSXuan Hu  uopRes := Mux(io.redirect, 0.U, uopResNext)
1697189ec863SzhanglyGit
1698*e25c13faSXuan Hu  val complexNum = Mux(uopRes > readyCounter, readyCounter, uopRes)
1699d91483a6Sfdy
1700d91483a6Sfdy  for(i <- 0 until RenameWidth) {
1701*e25c13faSXuan Hu    outValids(i) := complexNum > i.U
1702*e25c13faSXuan Hu    outDecodedInsts(i) := Mux((i.U + numOfUop - uopRes) < maxUopSize.U, csBundle(i.U + numOfUop - uopRes), csBundle(maxUopSize - 1))
1703d91483a6Sfdy  }
1704d91483a6Sfdy
1705*e25c13faSXuan Hu  outComplexNum := Mux(state === s_active, complexNum, 0.U)
1706*e25c13faSXuan Hu  inReady := state === s_idle || state === s_active && thisAllOut
1707d91483a6Sfdy
1708*e25c13faSXuan Hu//  val validSimple = Wire(Vec(DecodeWidth, Bool()))
1709*e25c13faSXuan Hu//  validSimple.zip(io.validFromIBuf.zip(io.isComplex)).map{ case (dst, (src1, src2)) => dst := src1 && !src2 }
1710*e25c13faSXuan Hu//  val notInf = Wire(Vec(DecodeWidth, Bool()))
1711*e25c13faSXuan Hu//  notInf.drop(1).zip(io.validFromIBuf.drop(1).zip(validSimple.drop(1))).map{ case (dst, (src1, src2)) => dst := !src1 || src2 }
1712*e25c13faSXuan Hu//  notInf(0) := !io.validFromIBuf(0) || validSimple(0) || (io.isComplex(0) && io.in0pc === io.simple.decodedInst.pc)
1713*e25c13faSXuan Hu//  val notInfVec = Wire(Vec(DecodeWidth, Bool()))
1714*e25c13faSXuan Hu//  notInfVec.zipWithIndex.map{ case (dst, i) => dst := Cat(notInf.take(i + 1)).andR}
1715*e25c13faSXuan Hu//
1716*e25c13faSXuan Hu//  complexNum := Mux(io.validFromIBuf(0) && readyCounter.orR ,
1717*e25c13faSXuan Hu//    Mux(uopRes0 > readyCounter, readyCounter, uopRes0),
1718*e25c13faSXuan Hu//    0.U)
1719*e25c13faSXuan Hu//  validToRename.zipWithIndex.foreach{
1720*e25c13faSXuan Hu//    case(dst, i) =>
1721*e25c13faSXuan Hu//      val validFix = Mux(complexNum.orR, validSimple((i+1).U - complexNum), validSimple(i))
1722*e25c13faSXuan Hu//      dst := MuxCase(false.B, Seq(
1723*e25c13faSXuan Hu//        (io.validFromIBuf(0) && readyCounter.orR && uopRes0 > readyCounter) -> Mux(readyCounter > i.U, true.B, false.B),
1724*e25c13faSXuan Hu//        (io.validFromIBuf(0) && readyCounter.orR && !(uopRes0 > readyCounter)) -> Mux(complexNum > i.U, true.B, validFix && notInfVec(i.U - complexNum) && io.readyFromRename(i)),
1725*e25c13faSXuan Hu//      ).toSeq)
1726*e25c13faSXuan Hu//  }
1727*e25c13faSXuan Hu//
1728*e25c13faSXuan Hu//  readyToIBuf.zipWithIndex.foreach {
1729*e25c13faSXuan Hu//    case (dst, i) =>
1730*e25c13faSXuan Hu//      val readyToIBuf0 = Mux(io.isComplex(0), io.in0pc === io.simple.decodedInst.pc, true.B)
1731*e25c13faSXuan Hu//      dst := MuxCase(true.B, Seq(
1732*e25c13faSXuan Hu//        (io.validFromIBuf(0) && uopRes0 > readyCounter || !readyCounter.orR) -> false.B,
1733*e25c13faSXuan Hu//        (io.validFromIBuf(0) && !(uopRes0 > readyCounter) && readyCounter.orR) -> (if (i==0) readyToIBuf0 else Mux(RenameWidth.U - complexNum >= i.U, notInfVec(i) && validSimple(i) && io.readyFromRename(i), false.B))
1734*e25c13faSXuan Hu//      ).toSeq)
1735*e25c13faSXuan Hu//  }
1736*e25c13faSXuan Hu//
1737*e25c13faSXuan Hu//  io.deq.decodedInsts := decodedInsts
1738*e25c13faSXuan Hu//  io.deq.complexNum := complexNum
1739*e25c13faSXuan Hu//  io.deq.validToRename := validToRename
1740*e25c13faSXuan Hu//  io.deq.readyToIBuf := readyToIBuf
1741d91483a6Sfdy}
1742