xref: /XiangShan/src/main/scala/xiangshan/backend/decode/DecodeUnitComp.scala (revision c4501a6f9d3314f3a2b25dcd7b64862f8a7184bd)
1d91483a6Sfdy/***************************************************************************************
2d91483a6Sfdy  * Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3d91483a6Sfdy  * Copyright (c) 2020-2021 Peng Cheng Laboratory
4d91483a6Sfdy  *
5d91483a6Sfdy  * XiangShan is licensed under Mulan PSL v2.
6d91483a6Sfdy  * You can use this software according to the terms and conditions of the Mulan PSL v2.
7d91483a6Sfdy  * You may obtain a copy of Mulan PSL v2 at:
8d91483a6Sfdy  *          http://license.coscl.org.cn/MulanPSL2
9d91483a6Sfdy  *
10d91483a6Sfdy  * THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11d91483a6Sfdy  * EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12d91483a6Sfdy  * MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13d91483a6Sfdy  *
14d91483a6Sfdy  * See the Mulan PSL v2 for more details.
15d91483a6Sfdy  ***************************************************************************************/
16d91483a6Sfdy
17d91483a6Sfdypackage xiangshan.backend.decode
18d91483a6Sfdy
1983ba63b3SXuan Huimport org.chipsalliance.cde.config.Parameters
20d91483a6Sfdyimport chisel3._
21d91483a6Sfdyimport chisel3.util._
22d91483a6Sfdyimport freechips.rocketchip.rocket.Instructions
23d91483a6Sfdyimport freechips.rocketchip.util.uintToBitPat
24d91483a6Sfdyimport utils._
25d91483a6Sfdyimport utility._
26d91483a6Sfdyimport xiangshan.ExceptionNO.illegalInstr
27d91483a6Sfdyimport xiangshan._
28d91483a6Sfdyimport xiangshan.backend.fu.fpu.FPU
29d91483a6Sfdyimport xiangshan.backend.fu.FuType
30d91483a6Sfdyimport freechips.rocketchip.rocket.Instructions._
31d91483a6Sfdyimport xiangshan.backend.Bundles.{DecodedInst, StaticInst}
3298cfe81bSxgkiriimport xiangshan.backend.decode.isa.bitfield.XSInstBitFields
33582849ffSxiaofeibao-xjtuimport xiangshan.backend.fu.vector.Bundles.{VSew, VType, VLmul}
34d91483a6Sfdyimport yunsuan.VpermType
35d91483a6Sfdyimport scala.collection.Seq
36*c4501a6fSZiyue-Zhangimport chisel3.util.experimental.decode.{QMCMinimizer, TruthTable, decoder}
37*c4501a6fSZiyue-Zhang
38*c4501a6fSZiyue-Zhangclass indexedLSUopTable(uopIdx:Int) extends Module {
39*c4501a6fSZiyue-Zhang  val src = IO(Input(UInt(7.W)))
40*c4501a6fSZiyue-Zhang  val outOffsetVs2 = IO(Output(UInt(3.W)))
41*c4501a6fSZiyue-Zhang  val outOffsetVd = IO(Output(UInt(3.W)))
42*c4501a6fSZiyue-Zhang  def genCsBundle_VEC_INDEXED_LDST(lmul:Int, emul:Int, nfields:Int, uopIdx:Int): (Int, Int) ={
43*c4501a6fSZiyue-Zhang    if (lmul * nfields <= 8) {
44*c4501a6fSZiyue-Zhang      for (k <-0 until nfields) {
45*c4501a6fSZiyue-Zhang        if (lmul < emul) {    // lmul < emul, uop num is depend on emul * nf
46*c4501a6fSZiyue-Zhang          var offset = 1 << (emul - lmul)
47*c4501a6fSZiyue-Zhang          for (i <- 0 until emul) {
48*c4501a6fSZiyue-Zhang            if (uopIdx == k * (1 << emul) + (1 << i)) {
49*c4501a6fSZiyue-Zhang              return ((1 << i), (1 << i) / offset + k * (1 << lmul))
50*c4501a6fSZiyue-Zhang            }
51*c4501a6fSZiyue-Zhang          }
52*c4501a6fSZiyue-Zhang        } else {              // lmul < emul, uop num is depend on lmul * nf
53*c4501a6fSZiyue-Zhang          var offset = 1 << (lmul - emul)
54*c4501a6fSZiyue-Zhang          for (i <- 0 until lmul) {
55*c4501a6fSZiyue-Zhang            if (uopIdx == k * (1 << lmul) + (1 << i)) {
56*c4501a6fSZiyue-Zhang              return ((1 << i) / offset, (1 << i) + k * (1 << lmul))
57*c4501a6fSZiyue-Zhang            }
58*c4501a6fSZiyue-Zhang          }
59*c4501a6fSZiyue-Zhang        }
60*c4501a6fSZiyue-Zhang      }
61*c4501a6fSZiyue-Zhang    }
62*c4501a6fSZiyue-Zhang    return (0, 0)
63*c4501a6fSZiyue-Zhang  }
64*c4501a6fSZiyue-Zhang  // strided load/store
65*c4501a6fSZiyue-Zhang  var combVemulNf : Seq[(Int, Int, Int, Int, Int)] = Seq()
66*c4501a6fSZiyue-Zhang  for (emul <- 0 until 4) {
67*c4501a6fSZiyue-Zhang    for (lmul <- 0 until 4) {
68*c4501a6fSZiyue-Zhang      for (nf <- 0 until 8) {
69*c4501a6fSZiyue-Zhang        var offset = genCsBundle_VEC_INDEXED_LDST(lmul, emul, nf, uopIdx)
70*c4501a6fSZiyue-Zhang        var offsetVs2 = offset._1
71*c4501a6fSZiyue-Zhang        var offsetVd = offset._2
72*c4501a6fSZiyue-Zhang        combVemulNf :+= (emul, lmul, nf, offsetVs2, offsetVd)
73*c4501a6fSZiyue-Zhang      }
74*c4501a6fSZiyue-Zhang    }
75*c4501a6fSZiyue-Zhang  }
76*c4501a6fSZiyue-Zhang  val out = decoder(QMCMinimizer, src, TruthTable(combVemulNf.map {
77*c4501a6fSZiyue-Zhang    case (emul, lmul, nf, offsetVs2, offsetVd) => (BitPat((emul << 5 | lmul << 3 | nf).U(7.W)), BitPat((offsetVs2 << 3 | offsetVd).U(6.W)))
78*c4501a6fSZiyue-Zhang  }, BitPat.N(6)))
79*c4501a6fSZiyue-Zhang  outOffsetVs2 := out(5, 3)
80*c4501a6fSZiyue-Zhang  outOffsetVd := out(2, 0)
81*c4501a6fSZiyue-Zhang}
82d91483a6Sfdy
83d91483a6Sfdytrait VectorConstants {
84d91483a6Sfdy  val MAX_VLMUL = 8
85d91483a6Sfdy  val FP_TMP_REG_MV = 32
86189ec863SzhanglyGit  val VECTOR_TMP_REG_LMUL = 33 // 33~47  ->  15
87*c4501a6fSZiyue-Zhang  val MAX_INDEXED_LS_UOPNUM = 64
88d91483a6Sfdy}
89d91483a6Sfdy
90d91483a6Sfdyclass DecodeUnitCompIO(implicit p: Parameters) extends XSBundle {
91aaa08c5aSxiaofeibao-xjtu  val simple = new Bundle {
92aaa08c5aSxiaofeibao-xjtu    val decodedInst = Input(new DecodedInst)
93aaa08c5aSxiaofeibao-xjtu    val isComplex = Input(Bool())
94aaa08c5aSxiaofeibao-xjtu    val uopInfo = Input(new UopInfo)
95aaa08c5aSxiaofeibao-xjtu  }
96d91483a6Sfdy  val vtype = Input(new VType)
97aaa08c5aSxiaofeibao-xjtu  val in0pc = Input(UInt(VAddrBits.W))
98aaa08c5aSxiaofeibao-xjtu  val isComplex = Input(Vec(DecodeWidth, Bool()))
99d91483a6Sfdy  val validFromIBuf = Input(Vec(DecodeWidth, Bool()))
100d91483a6Sfdy  val readyFromRename = Input(Vec(RenameWidth, Bool()))
101d91483a6Sfdy  val deq = new Bundle {
102d91483a6Sfdy    val decodedInsts = Output(Vec(RenameWidth, new DecodedInst))
103d91483a6Sfdy    val isVset = Output(Bool())
104d91483a6Sfdy    val readyToIBuf = Output(Vec(DecodeWidth, Bool()))
105d91483a6Sfdy    val validToRename = Output(Vec(RenameWidth, Bool()))
106d91483a6Sfdy    val complexNum = Output(UInt(3.W))
107d91483a6Sfdy  }
108d91483a6Sfdy  val csrCtrl = Input(new CustomCSRCtrlIO)
109d91483a6Sfdy}
11017ec87f2SXuan Hu
111d91483a6Sfdy/**
112d91483a6Sfdy  * @author zly
113d91483a6Sfdy  */
114d91483a6Sfdyclass DecodeUnitComp()(implicit p : Parameters) extends XSModule with DecodeUnitConstants with VectorConstants {
115d91483a6Sfdy  val io = IO(new DecodeUnitCompIO)
116d91483a6Sfdy
117d91483a6Sfdy  val maxUopSize = MaxUopSize
118d91483a6Sfdy  //input bits
119aaa08c5aSxiaofeibao-xjtu  private val inst: XSInstBitFields = io.simple.decodedInst.instr.asTypeOf(new XSInstBitFields)
120d91483a6Sfdy
12198cfe81bSxgkiri  val src1 = Cat(0.U(1.W), inst.RS1)
12298cfe81bSxgkiri  val src2 = Cat(0.U(1.W), inst.RS2)
12398cfe81bSxgkiri  val dest = Cat(0.U(1.W), inst.RD)
1247f9f0a79SzhanglyGit
125*c4501a6fSZiyue-Zhang  val nf    = inst.NF
126*c4501a6fSZiyue-Zhang  val width = inst.WIDTH(1, 0)
127d91483a6Sfdy
128d91483a6Sfdy  //output bits
129d91483a6Sfdy  val decodedInsts = Wire(Vec(RenameWidth, new DecodedInst))
130d91483a6Sfdy  val validToRename = Wire(Vec(RenameWidth, Bool()))
131d91483a6Sfdy  val readyToIBuf = Wire(Vec(DecodeWidth, Bool()))
132d91483a6Sfdy  val complexNum = Wire(UInt(3.W))
133d91483a6Sfdy
134d91483a6Sfdy  //output of DecodeUnit
135189ec863SzhanglyGit  val decodedInstsSimple = Wire(new DecodedInst)
1367f9f0a79SzhanglyGit  val numOfUop = Wire(UInt(log2Up(maxUopSize+1).W))
1377f9f0a79SzhanglyGit  val lmul = Wire(UInt(4.W))
138189ec863SzhanglyGit  val isVsetSimple = Wire(Bool())
139d91483a6Sfdy
140*c4501a6fSZiyue-Zhang  val indexedLSRegOffset = Seq.tabulate(MAX_INDEXED_LS_UOPNUM)(i => Module(new indexedLSUopTable(i)))
141*c4501a6fSZiyue-Zhang  indexedLSRegOffset.map(_.src := 0.U)
142*c4501a6fSZiyue-Zhang
143d91483a6Sfdy  //pre decode
144aaa08c5aSxiaofeibao-xjtu  decodedInstsSimple := io.simple.decodedInst
145aaa08c5aSxiaofeibao-xjtu  lmul := io.simple.uopInfo.lmul
146aaa08c5aSxiaofeibao-xjtu  isVsetSimple := io.simple.decodedInst.isVset
147aaa08c5aSxiaofeibao-xjtu  val vlmulReg = io.simple.decodedInst.vpu.vlmul
148aaa08c5aSxiaofeibao-xjtu  val vsewReg = io.simple.decodedInst.vpu.vsew
149189ec863SzhanglyGit  when(isVsetSimple) {
150d91483a6Sfdy    when(dest === 0.U && src1 === 0.U) {
151aaa08c5aSxiaofeibao-xjtu      decodedInstsSimple.fuOpType := VSETOpType.keepVl(io.simple.decodedInst.fuOpType)
152d91483a6Sfdy    }.elsewhen(src1 === 0.U) {
153aaa08c5aSxiaofeibao-xjtu      decodedInstsSimple.fuOpType := VSETOpType.setVlmax(io.simple.decodedInst.fuOpType)
154a8db15d8Sfdy    }
155a8db15d8Sfdy    when(io.vtype.illegal){
156189ec863SzhanglyGit      decodedInstsSimple.flushPipe := true.B
157d91483a6Sfdy    }
158d91483a6Sfdy  }
159d91483a6Sfdy  //Type of uop Div
160189ec863SzhanglyGit  val typeOfSplit = decodedInstsSimple.uopSplitType
161d6059658SZiyue Zhang  val src1Type = decodedInstsSimple.srcType(0)
162d6059658SZiyue Zhang  val src1IsImm = src1Type === SrcType.imm
163d91483a6Sfdy
1647f9f0a79SzhanglyGit  when(typeOfSplit === UopSplitType.DIR) {
1657f9f0a79SzhanglyGit    numOfUop := Mux(dest =/= 0.U, 2.U,
166d91483a6Sfdy      Mux(src1 =/= 0.U, 1.U,
1677f9f0a79SzhanglyGit        Mux(VSETOpType.isVsetvl(decodedInstsSimple.fuOpType), 2.U, 1.U)))
1687f9f0a79SzhanglyGit  } .otherwise {
169aaa08c5aSxiaofeibao-xjtu    numOfUop := io.simple.uopInfo.numOfUop
1707f9f0a79SzhanglyGit  }
1717f9f0a79SzhanglyGit
172d91483a6Sfdy
173d91483a6Sfdy  //uop div up to maxUopSize
174d91483a6Sfdy  val csBundle = Wire(Vec(maxUopSize, new DecodedInst))
175d91483a6Sfdy  csBundle.map { case dst =>
176189ec863SzhanglyGit    dst := decodedInstsSimple
177d91483a6Sfdy    dst.firstUop := false.B
178d91483a6Sfdy    dst.lastUop := false.B
179d91483a6Sfdy  }
180d91483a6Sfdy
181f1e8fcb2SXuan Hu  csBundle(0).numUops := numOfUop
182d91483a6Sfdy  csBundle(0).firstUop := true.B
183d91483a6Sfdy  csBundle(numOfUop - 1.U).lastUop := true.B
184d91483a6Sfdy
185189ec863SzhanglyGit  switch(typeOfSplit) {
18617ec87f2SXuan Hu    is(UopSplitType.DIR) {
187189ec863SzhanglyGit      when(isVsetSimple) {
188d91483a6Sfdy        when(dest =/= 0.U) {
189d91483a6Sfdy          csBundle(0).fuType := FuType.vsetiwi.U
190189ec863SzhanglyGit          csBundle(0).fuOpType := VSETOpType.switchDest(decodedInstsSimple.fuOpType)
191d91483a6Sfdy          csBundle(0).flushPipe := false.B
192d91483a6Sfdy          csBundle(0).rfWen := true.B
193d91483a6Sfdy          csBundle(0).vecWen := false.B
194cb10a55bSXuan Hu          csBundle(1).ldest := VCONFIG_IDX.U
195fe60541bSXuan Hu          csBundle(1).rfWen := false.B
196fe60541bSXuan Hu          csBundle(1).vecWen := true.B
197d91483a6Sfdy        }.elsewhen(src1 =/= 0.U) {
198cb10a55bSXuan Hu          csBundle(0).ldest := VCONFIG_IDX.U
199189ec863SzhanglyGit        }.elsewhen(VSETOpType.isVsetvli(decodedInstsSimple.fuOpType)) {
200d91483a6Sfdy          csBundle(0).fuType := FuType.vsetfwf.U
201d91483a6Sfdy          csBundle(0).srcType(0) := SrcType.vp
202cb10a55bSXuan Hu          csBundle(0).lsrc(0) := VCONFIG_IDX.U
203189ec863SzhanglyGit        }.elsewhen(VSETOpType.isVsetvl(decodedInstsSimple.fuOpType)) {
204d91483a6Sfdy          csBundle(0).srcType(0) := SrcType.reg
205d91483a6Sfdy          csBundle(0).srcType(1) := SrcType.imm
206d91483a6Sfdy          csBundle(0).lsrc(1) := 0.U
207d91483a6Sfdy          csBundle(0).ldest := FP_TMP_REG_MV.U
208d91483a6Sfdy          csBundle(0).fuType := FuType.i2f.U
209d91483a6Sfdy          csBundle(0).rfWen := false.B
210d91483a6Sfdy          csBundle(0).fpWen := true.B
211d91483a6Sfdy          csBundle(0).vecWen := false.B
212d91483a6Sfdy          csBundle(0).fpu.isAddSub := false.B
213d91483a6Sfdy          csBundle(0).fpu.typeTagIn := FPU.D
214d91483a6Sfdy          csBundle(0).fpu.typeTagOut := FPU.D
215d91483a6Sfdy          csBundle(0).fpu.fromInt := true.B
216d91483a6Sfdy          csBundle(0).fpu.wflags := false.B
217d91483a6Sfdy          csBundle(0).fpu.fpWen := true.B
218d91483a6Sfdy          csBundle(0).fpu.div := false.B
219d91483a6Sfdy          csBundle(0).fpu.sqrt := false.B
220d91483a6Sfdy          csBundle(0).fpu.fcvt := false.B
221d91483a6Sfdy          csBundle(0).flushPipe := false.B
222d91483a6Sfdy          csBundle(1).fuType := FuType.vsetfwf.U
223d91483a6Sfdy          csBundle(1).srcType(0) := SrcType.vp
224cb10a55bSXuan Hu          csBundle(1).lsrc(0) := VCONFIG_IDX.U
225d91483a6Sfdy          csBundle(1).srcType(1) := SrcType.fp
226d91483a6Sfdy          csBundle(1).lsrc(1) := FP_TMP_REG_MV.U
227cb10a55bSXuan Hu          csBundle(1).ldest := VCONFIG_IDX.U
228d91483a6Sfdy        }
229d91483a6Sfdy      }
230d91483a6Sfdy    }
23117ec87f2SXuan Hu    is(UopSplitType.VEC_VVV) {
232d91483a6Sfdy      for (i <- 0 until MAX_VLMUL) {
233d91483a6Sfdy        csBundle(i).lsrc(0) := src1 + i.U
234d91483a6Sfdy        csBundle(i).lsrc(1) := src2 + i.U
235d91483a6Sfdy        csBundle(i).lsrc(2) := dest + i.U
236d91483a6Sfdy        csBundle(i).ldest := dest + i.U
237d91483a6Sfdy        csBundle(i).uopIdx := i.U
238d91483a6Sfdy      }
239d91483a6Sfdy    }
240684d7aceSxiaofeibao-xjtu    is(UopSplitType.VEC_VFV) {
241684d7aceSxiaofeibao-xjtu      for (i <- 0 until MAX_VLMUL) {
242684d7aceSxiaofeibao-xjtu        csBundle(i).lsrc(1) := src2 + i.U
243684d7aceSxiaofeibao-xjtu        csBundle(i).lsrc(2) := dest + i.U
244684d7aceSxiaofeibao-xjtu        csBundle(i).ldest := dest + i.U
245684d7aceSxiaofeibao-xjtu        csBundle(i).uopIdx := i.U
246684d7aceSxiaofeibao-xjtu      }
247684d7aceSxiaofeibao-xjtu    }
24817ec87f2SXuan Hu    is(UopSplitType.VEC_EXT2) {
249d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 2) {
250d91483a6Sfdy        csBundle(2 * i).lsrc(1) := src2 + i.U
251d91483a6Sfdy        csBundle(2 * i).lsrc(2) := dest + (2 * i).U
252d91483a6Sfdy        csBundle(2 * i).ldest := dest + (2 * i).U
253d91483a6Sfdy        csBundle(2 * i).uopIdx := (2 * i).U
254d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + i.U
255d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := dest + (2 * i + 1).U
256d91483a6Sfdy        csBundle(2 * i + 1).ldest := dest + (2 * i + 1).U
257d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i + 1).U
258d91483a6Sfdy      }
259d91483a6Sfdy    }
26017ec87f2SXuan Hu    is(UopSplitType.VEC_EXT4) {
261d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 4) {
262d91483a6Sfdy        csBundle(4 * i).lsrc(1) := src2 + i.U
263d91483a6Sfdy        csBundle(4 * i).lsrc(2) := dest + (4 * i).U
264d91483a6Sfdy        csBundle(4 * i).ldest := dest + (4 * i).U
265d91483a6Sfdy        csBundle(4 * i).uopIdx := (4 * i).U
266d91483a6Sfdy        csBundle(4 * i + 1).lsrc(1) := src2 + i.U
267d91483a6Sfdy        csBundle(4 * i + 1).lsrc(2) := dest + (4 * i + 1).U
268d91483a6Sfdy        csBundle(4 * i + 1).ldest := dest + (4 * i + 1).U
269d91483a6Sfdy        csBundle(4 * i + 1).uopIdx := (4 * i + 1).U
270d91483a6Sfdy        csBundle(4 * i + 2).lsrc(1) := src2 + i.U
271d91483a6Sfdy        csBundle(4 * i + 2).lsrc(2) := dest + (4 * i + 2).U
272d91483a6Sfdy        csBundle(4 * i + 2).ldest := dest + (4 * i + 2).U
273d91483a6Sfdy        csBundle(4 * i + 2).uopIdx := (4 * i + 2).U
274d91483a6Sfdy        csBundle(4 * i + 3).lsrc(1) := src2 + i.U
275d91483a6Sfdy        csBundle(4 * i + 3).lsrc(2) := dest + (4 * i + 3).U
276d91483a6Sfdy        csBundle(4 * i + 3).ldest := dest + (4 * i + 3).U
277d91483a6Sfdy        csBundle(4 * i + 3).uopIdx := (4 * i + 3).U
278d91483a6Sfdy      }
279d91483a6Sfdy    }
28017ec87f2SXuan Hu    is(UopSplitType.VEC_EXT8) {
281d91483a6Sfdy      for (i <- 0 until MAX_VLMUL) {
282d91483a6Sfdy        csBundle(i).lsrc(1) := src2
283d91483a6Sfdy        csBundle(i).lsrc(2) := dest + i.U
284d91483a6Sfdy        csBundle(i).ldest := dest + i.U
285d91483a6Sfdy        csBundle(i).uopIdx := i.U
286d91483a6Sfdy      }
287d91483a6Sfdy    }
28817ec87f2SXuan Hu    is(UopSplitType.VEC_0XV) {
289d91483a6Sfdy      /*
290d91483a6Sfdy      FMV.D.X
291d91483a6Sfdy       */
292d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
293d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
294d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
295d91483a6Sfdy      csBundle(0).ldest := FP_TMP_REG_MV.U
296d91483a6Sfdy      csBundle(0).fuType := FuType.i2f.U
297d91483a6Sfdy      csBundle(0).rfWen := false.B
298d91483a6Sfdy      csBundle(0).fpWen := true.B
299d91483a6Sfdy      csBundle(0).vecWen := false.B
300d91483a6Sfdy      csBundle(0).fpu.isAddSub := false.B
301d91483a6Sfdy      csBundle(0).fpu.typeTagIn := FPU.D
302d91483a6Sfdy      csBundle(0).fpu.typeTagOut := FPU.D
303d91483a6Sfdy      csBundle(0).fpu.fromInt := true.B
304d91483a6Sfdy      csBundle(0).fpu.wflags := false.B
305d91483a6Sfdy      csBundle(0).fpu.fpWen := true.B
306d91483a6Sfdy      csBundle(0).fpu.div := false.B
307d91483a6Sfdy      csBundle(0).fpu.sqrt := false.B
308d91483a6Sfdy      csBundle(0).fpu.fcvt := false.B
309d91483a6Sfdy      /*
310d91483a6Sfdy      vfmv.s.f
311d91483a6Sfdy       */
312d91483a6Sfdy      csBundle(1).srcType(0) := SrcType.fp
313d91483a6Sfdy      csBundle(1).srcType(1) := SrcType.vp
314d91483a6Sfdy      csBundle(1).srcType(2) := SrcType.vp
315d91483a6Sfdy      csBundle(1).lsrc(0) := FP_TMP_REG_MV.U
316d91483a6Sfdy      csBundle(1).lsrc(1) := 0.U
317d91483a6Sfdy      csBundle(1).lsrc(2) := dest
318d91483a6Sfdy      csBundle(1).ldest := dest
319d91483a6Sfdy      csBundle(1).fuType := FuType.vppu.U
32017ec87f2SXuan Hu      csBundle(1).fuOpType := VpermType.dummy
321d91483a6Sfdy      csBundle(1).rfWen := false.B
322d91483a6Sfdy      csBundle(1).fpWen := false.B
323d91483a6Sfdy      csBundle(1).vecWen := true.B
324d91483a6Sfdy    }
32517ec87f2SXuan Hu    is(UopSplitType.VEC_VXV) {
326d91483a6Sfdy      /*
327d6059658SZiyue Zhang      i to vector move
328d91483a6Sfdy       */
329d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
330d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
331d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
332fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
333fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
334d6059658SZiyue Zhang      csBundle(0).fuOpType := Cat(Mux(src1IsImm, IF2VectorType.imm2vector(2, 0), IF2VectorType.i2vector(2, 0)), vsewReg)
335fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
336fc85f18fSZiyue Zhang      /*
337fc85f18fSZiyue Zhang      LMUL
338fc85f18fSZiyue Zhang       */
339fc85f18fSZiyue Zhang      for (i <- 0 until MAX_VLMUL) {
340fc85f18fSZiyue Zhang        csBundle(i + 1).srcType(0) := SrcType.vp
341fc85f18fSZiyue Zhang        csBundle(i + 1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
342d91483a6Sfdy        csBundle(i + 1).lsrc(1) := src2 + i.U
343d91483a6Sfdy        csBundle(i + 1).lsrc(2) := dest + i.U
344d91483a6Sfdy        csBundle(i + 1).ldest := dest + i.U
345d91483a6Sfdy        csBundle(i + 1).uopIdx := i.U
346d91483a6Sfdy      }
347d91483a6Sfdy    }
34817ec87f2SXuan Hu    is(UopSplitType.VEC_VVW) {
349d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 2) {
350d91483a6Sfdy        csBundle(2 * i).lsrc(0) := src1 + i.U
351d91483a6Sfdy        csBundle(2 * i).lsrc(1) := src2 + i.U
352d91483a6Sfdy        csBundle(2 * i).lsrc(2) := dest + (2 * i).U
353d91483a6Sfdy        csBundle(2 * i).ldest := dest + (2 * i).U
354d91483a6Sfdy        csBundle(2 * i).uopIdx := (2 * i).U
355d91483a6Sfdy        csBundle(2 * i + 1).lsrc(0) := src1 + i.U
356d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + i.U
357d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := dest + (2 * i + 1).U
358d91483a6Sfdy        csBundle(2 * i + 1).ldest := dest + (2 * i + 1).U
359d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i + 1).U
360d91483a6Sfdy      }
361d91483a6Sfdy    }
3623748ec56Sxiaofeibao-xjtu    is(UopSplitType.VEC_VFW) {
3633748ec56Sxiaofeibao-xjtu      for (i <- 0 until MAX_VLMUL / 2) {
3643748ec56Sxiaofeibao-xjtu        csBundle(2 * i).lsrc(0) := src1
3653748ec56Sxiaofeibao-xjtu        csBundle(2 * i).lsrc(1) := src2 + i.U
3663748ec56Sxiaofeibao-xjtu        csBundle(2 * i).lsrc(2) := dest + (2 * i).U
3673748ec56Sxiaofeibao-xjtu        csBundle(2 * i).ldest := dest + (2 * i).U
3683748ec56Sxiaofeibao-xjtu        csBundle(2 * i).uopIdx := (2 * i).U
3693748ec56Sxiaofeibao-xjtu        csBundle(2 * i + 1).lsrc(0) := src1
3703748ec56Sxiaofeibao-xjtu        csBundle(2 * i + 1).lsrc(1) := src2 + i.U
3713748ec56Sxiaofeibao-xjtu        csBundle(2 * i + 1).lsrc(2) := dest + (2 * i + 1).U
3723748ec56Sxiaofeibao-xjtu        csBundle(2 * i + 1).ldest := dest + (2 * i + 1).U
3733748ec56Sxiaofeibao-xjtu        csBundle(2 * i + 1).uopIdx := (2 * i + 1).U
3743748ec56Sxiaofeibao-xjtu      }
3753748ec56Sxiaofeibao-xjtu    }
37617ec87f2SXuan Hu    is(UopSplitType.VEC_WVW) {
377d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 2) {
378d91483a6Sfdy        csBundle(2 * i).lsrc(0) := src1 + i.U
379d91483a6Sfdy        csBundle(2 * i).lsrc(1) := src2 + (2 * i).U
380d91483a6Sfdy        csBundle(2 * i).lsrc(2) := dest + (2 * i).U
381d91483a6Sfdy        csBundle(2 * i).ldest := dest + (2 * i).U
382d91483a6Sfdy        csBundle(2 * i).uopIdx := (2 * i).U
383d91483a6Sfdy        csBundle(2 * i + 1).lsrc(0) := src1 + i.U
384d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + (2 * i + 1).U
385d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := dest + (2 * i + 1).U
386d91483a6Sfdy        csBundle(2 * i + 1).ldest := dest + (2 * i + 1).U
387d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i + 1).U
388d91483a6Sfdy      }
389d91483a6Sfdy    }
39017ec87f2SXuan Hu    is(UopSplitType.VEC_VXW) {
391d91483a6Sfdy      /*
392d6059658SZiyue Zhang      i to vector move
393d91483a6Sfdy       */
394d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
395d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
396d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
397fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
398fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
399fc85f18fSZiyue Zhang      csBundle(0).fuOpType := vsewReg
400fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
401d91483a6Sfdy
402d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 2) {
403fc85f18fSZiyue Zhang        csBundle(2 * i + 1).srcType(0) := SrcType.vp
404fc85f18fSZiyue Zhang        csBundle(2 * i + 1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
405d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + i.U
406d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := dest + (2 * i).U
407d91483a6Sfdy        csBundle(2 * i + 1).ldest := dest + (2 * i).U
408d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i).U
409fc85f18fSZiyue Zhang        csBundle(2 * i + 2).srcType(0) := SrcType.vp
410fc85f18fSZiyue Zhang        csBundle(2 * i + 2).lsrc(0) := VECTOR_TMP_REG_LMUL.U
411d91483a6Sfdy        csBundle(2 * i + 2).lsrc(1) := src2 + i.U
412d91483a6Sfdy        csBundle(2 * i + 2).lsrc(2) := dest + (2 * i + 1).U
413d91483a6Sfdy        csBundle(2 * i + 2).ldest := dest + (2 * i + 1).U
414d91483a6Sfdy        csBundle(2 * i + 2).uopIdx := (2 * i + 1).U
415d91483a6Sfdy      }
416d91483a6Sfdy    }
41717ec87f2SXuan Hu    is(UopSplitType.VEC_WXW) {
418d91483a6Sfdy      /*
419d6059658SZiyue Zhang      i to vector move
420d91483a6Sfdy       */
421d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
422d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
423d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
424fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
425fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
426fc85f18fSZiyue Zhang      csBundle(0).fuOpType := vsewReg
427fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
428d91483a6Sfdy
429d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 2) {
430fc85f18fSZiyue Zhang        csBundle(2 * i + 1).srcType(0) := SrcType.vp
431fc85f18fSZiyue Zhang        csBundle(2 * i + 1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
432d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + (2 * i).U
433d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := dest + (2 * i).U
434d91483a6Sfdy        csBundle(2 * i + 1).ldest := dest + (2 * i).U
435d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i).U
436fc85f18fSZiyue Zhang        csBundle(2 * i + 2).srcType(0) := SrcType.vp
437fc85f18fSZiyue Zhang        csBundle(2 * i + 2).lsrc(0) := VECTOR_TMP_REG_LMUL.U
438d91483a6Sfdy        csBundle(2 * i + 2).lsrc(1) := src2 + (2 * i + 1).U
439d91483a6Sfdy        csBundle(2 * i + 2).lsrc(2) := dest + (2 * i + 1).U
440d91483a6Sfdy        csBundle(2 * i + 2).ldest := dest + (2 * i + 1).U
441d91483a6Sfdy        csBundle(2 * i + 2).uopIdx := (2 * i + 1).U
442d91483a6Sfdy      }
443d91483a6Sfdy    }
44417ec87f2SXuan Hu    is(UopSplitType.VEC_WVV) {
445d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 2) {
446d91483a6Sfdy
447d91483a6Sfdy        csBundle(2 * i).lsrc(0) := src1 + i.U
448d91483a6Sfdy        csBundle(2 * i).lsrc(1) := src2 + (2 * i).U
449d91483a6Sfdy        csBundle(2 * i).lsrc(2) := dest + i.U
450d6f9198fSXuan Hu        csBundle(2 * i).ldest := dest + i.U
451d91483a6Sfdy        csBundle(2 * i).uopIdx := (2 * i).U
452d91483a6Sfdy        csBundle(2 * i + 1).lsrc(0) := src1 + i.U
453d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + (2 * i + 1).U
454d6f9198fSXuan Hu        csBundle(2 * i + 1).lsrc(2) := dest + i.U
455d91483a6Sfdy        csBundle(2 * i + 1).ldest := dest + i.U
456d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i + 1).U
457d91483a6Sfdy      }
458d91483a6Sfdy    }
4593748ec56Sxiaofeibao-xjtu    is(UopSplitType.VEC_WFW) {
4603748ec56Sxiaofeibao-xjtu      for (i <- 0 until MAX_VLMUL / 2) {
4613748ec56Sxiaofeibao-xjtu        csBundle(2 * i).lsrc(0) := src1
4623748ec56Sxiaofeibao-xjtu        csBundle(2 * i).lsrc(1) := src2 + (2 * i).U
4633748ec56Sxiaofeibao-xjtu        csBundle(2 * i).lsrc(2) := dest + (2 * i).U
4643748ec56Sxiaofeibao-xjtu        csBundle(2 * i).ldest := dest + (2 * i).U
4653748ec56Sxiaofeibao-xjtu        csBundle(2 * i).uopIdx := (2 * i).U
4663748ec56Sxiaofeibao-xjtu        csBundle(2 * i + 1).lsrc(0) := src1
4673748ec56Sxiaofeibao-xjtu        csBundle(2 * i + 1).lsrc(1) := src2 + (2 * i + 1).U
4683748ec56Sxiaofeibao-xjtu        csBundle(2 * i + 1).lsrc(2) := dest + (2 * i + 1).U
4693748ec56Sxiaofeibao-xjtu        csBundle(2 * i + 1).ldest := dest + (2 * i + 1).U
4703748ec56Sxiaofeibao-xjtu        csBundle(2 * i + 1).uopIdx := (2 * i + 1).U
4713748ec56Sxiaofeibao-xjtu      }
4723748ec56Sxiaofeibao-xjtu    }
47317ec87f2SXuan Hu    is(UopSplitType.VEC_WXV) {
474d91483a6Sfdy      /*
475d6059658SZiyue Zhang      i to vector move
476d91483a6Sfdy       */
477d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
478d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
479d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
480fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
481fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
482d6059658SZiyue Zhang      csBundle(0).fuOpType := Cat(Mux(src1IsImm, IF2VectorType.imm2vector(2, 0), IF2VectorType.i2vector(2, 0)), vsewReg)
483fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
484d91483a6Sfdy
485d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 2) {
486fc85f18fSZiyue Zhang        csBundle(2 * i + 1).srcType(0) := SrcType.vp
487fc85f18fSZiyue Zhang        csBundle(2 * i + 1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
488d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + (2 * i).U
489d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := dest + i.U
490d6f9198fSXuan Hu        csBundle(2 * i + 1).ldest := dest + i.U
491d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i).U
492fc85f18fSZiyue Zhang        csBundle(2 * i + 2).srcType(0) := SrcType.vp
493fc85f18fSZiyue Zhang        csBundle(2 * i + 2).lsrc(0) := VECTOR_TMP_REG_LMUL.U
494d91483a6Sfdy        csBundle(2 * i + 2).lsrc(1) := src2 + (2 * i + 1).U
495d6f9198fSXuan Hu        csBundle(2 * i + 2).lsrc(2) := dest + i.U
496d91483a6Sfdy        csBundle(2 * i + 2).ldest := dest + i.U
497d91483a6Sfdy        csBundle(2 * i + 2).uopIdx := (2 * i + 1).U
498d91483a6Sfdy      }
499d91483a6Sfdy    }
50017ec87f2SXuan Hu    is(UopSplitType.VEC_VVM) {
501d91483a6Sfdy      csBundle(0).lsrc(2) := dest
502d6f9198fSXuan Hu      csBundle(0).ldest := dest
503d91483a6Sfdy      csBundle(0).uopIdx := 0.U
504d91483a6Sfdy      for (i <- 1 until MAX_VLMUL) {
505d91483a6Sfdy        csBundle(i).lsrc(0) := src1 + i.U
506d91483a6Sfdy        csBundle(i).lsrc(1) := src2 + i.U
507d6f9198fSXuan Hu        csBundle(i).lsrc(2) := dest
508d6f9198fSXuan Hu        csBundle(i).ldest := dest
509d91483a6Sfdy        csBundle(i).uopIdx := i.U
510d91483a6Sfdy      }
511d91483a6Sfdy    }
512f06d6d60Sxiaofeibao-xjtu    is(UopSplitType.VEC_VFM) {
513f06d6d60Sxiaofeibao-xjtu      csBundle(0).lsrc(2) := dest
514f06d6d60Sxiaofeibao-xjtu      csBundle(0).ldest := dest
515f06d6d60Sxiaofeibao-xjtu      csBundle(0).uopIdx := 0.U
516f06d6d60Sxiaofeibao-xjtu      for (i <- 1 until MAX_VLMUL) {
517f06d6d60Sxiaofeibao-xjtu        csBundle(i).lsrc(0) := src1
518f06d6d60Sxiaofeibao-xjtu        csBundle(i).lsrc(1) := src2 + i.U
519f06d6d60Sxiaofeibao-xjtu        csBundle(i).lsrc(2) := dest
520f06d6d60Sxiaofeibao-xjtu        csBundle(i).ldest := dest
521f06d6d60Sxiaofeibao-xjtu        csBundle(i).uopIdx := i.U
522f06d6d60Sxiaofeibao-xjtu      }
523f06d6d60Sxiaofeibao-xjtu      csBundle(numOfUop - 1.U).ldest := dest
524f06d6d60Sxiaofeibao-xjtu    }
52517ec87f2SXuan Hu    is(UopSplitType.VEC_VXM) {
526d91483a6Sfdy      /*
527d6059658SZiyue Zhang      i to vector move
528d91483a6Sfdy       */
529d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
530d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
531d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
532fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
533fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
534d6059658SZiyue Zhang      csBundle(0).fuOpType := Cat(Mux(src1IsImm, IF2VectorType.imm2vector(2, 0), IF2VectorType.i2vector(2, 0)), vsewReg)
535fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
536d91483a6Sfdy      //LMUL
537fc85f18fSZiyue Zhang      csBundle(1).srcType(0) := SrcType.vp
538fc85f18fSZiyue Zhang      csBundle(1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
539d91483a6Sfdy      csBundle(1).lsrc(2) := dest
540d6f9198fSXuan Hu      csBundle(1).ldest := dest
541d91483a6Sfdy      csBundle(1).uopIdx := 0.U
542d91483a6Sfdy      for (i <- 1 until MAX_VLMUL) {
543fc85f18fSZiyue Zhang        csBundle(i + 1).srcType(0) := SrcType.vp
544fc85f18fSZiyue Zhang        csBundle(i + 1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
545d91483a6Sfdy        csBundle(i + 1).lsrc(1) := src2 + i.U
546d6f9198fSXuan Hu        csBundle(i + 1).lsrc(2) := dest
547d6f9198fSXuan Hu        csBundle(i + 1).ldest := dest
548d91483a6Sfdy        csBundle(i + 1).uopIdx := i.U
549d91483a6Sfdy      }
550d91483a6Sfdy      csBundle(numOfUop - 1.U).ldest := dest
551d91483a6Sfdy    }
55217ec87f2SXuan Hu    is(UopSplitType.VEC_SLIDE1UP) {
553d91483a6Sfdy      /*
554d6059658SZiyue Zhang      i to vector move
555d91483a6Sfdy       */
556d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
557d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
558d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
559fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
560fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
561fc85f18fSZiyue Zhang      csBundle(0).fuOpType := vsewReg
562fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
563d91483a6Sfdy      //LMUL
564fc85f18fSZiyue Zhang      csBundle(1).srcType(0) := SrcType.vp
565fc85f18fSZiyue Zhang      csBundle(1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
566d91483a6Sfdy      csBundle(1).lsrc(2) := dest
567d91483a6Sfdy      csBundle(1).ldest := dest
568d91483a6Sfdy      csBundle(1).uopIdx := 0.U
569d91483a6Sfdy      for (i <- 1 until MAX_VLMUL) {
570d91483a6Sfdy        csBundle(i + 1).srcType(0) := SrcType.vp
571d91483a6Sfdy        csBundle(i + 1).lsrc(0) := src2 + (i - 1).U
572d91483a6Sfdy        csBundle(i + 1).lsrc(1) := src2 + i.U
573d91483a6Sfdy        csBundle(i + 1).lsrc(2) := dest + i.U
574d91483a6Sfdy        csBundle(i + 1).ldest := dest + i.U
575d91483a6Sfdy        csBundle(i + 1).uopIdx := i.U
576d91483a6Sfdy      }
577d91483a6Sfdy    }
57817ec87f2SXuan Hu    is(UopSplitType.VEC_FSLIDE1UP) {
579d91483a6Sfdy      //LMUL
580d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.fp
581d91483a6Sfdy      csBundle(0).lsrc(0) := src1
582d91483a6Sfdy      csBundle(0).lsrc(1) := src2
583d91483a6Sfdy      csBundle(0).lsrc(2) := dest
584d91483a6Sfdy      csBundle(0).ldest := dest
585d91483a6Sfdy      csBundle(0).uopIdx := 0.U
586d91483a6Sfdy      for (i <- 1 until MAX_VLMUL) {
587d91483a6Sfdy        csBundle(i).srcType(0) := SrcType.vp
588d91483a6Sfdy        csBundle(i).lsrc(0) := src2 + (i - 1).U
589d91483a6Sfdy        csBundle(i).lsrc(1) := src2 + i.U
590d91483a6Sfdy        csBundle(i).lsrc(2) := dest + i.U
591d91483a6Sfdy        csBundle(i).ldest := dest + i.U
592d91483a6Sfdy        csBundle(i).uopIdx := i.U
593d91483a6Sfdy      }
594d91483a6Sfdy    }
59517ec87f2SXuan Hu    is(UopSplitType.VEC_SLIDE1DOWN) { // lmul+lmul = 16
596d91483a6Sfdy      /*
597d6059658SZiyue Zhang      i to vector move
598d91483a6Sfdy       */
599d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
600d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
601d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
602fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
603fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
604fc85f18fSZiyue Zhang      csBundle(0).fuOpType := vsewReg
605fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
606d91483a6Sfdy      //LMUL
607d91483a6Sfdy      for (i <- 0 until MAX_VLMUL) {
608d91483a6Sfdy        csBundle(2 * i + 1).srcType(0) := SrcType.vp
609d91483a6Sfdy        csBundle(2 * i + 1).srcType(1) := SrcType.vp
610d91483a6Sfdy        csBundle(2 * i + 1).lsrc(0) := src2 + (i + 1).U
611d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + i.U
612d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := dest + i.U
613fc85f18fSZiyue Zhang        csBundle(2 * i + 1).ldest := VECTOR_TMP_REG_LMUL.U + 1.U
614d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i).U
615d91483a6Sfdy        if (2 * i + 2 < MAX_VLMUL * 2) {
616fc85f18fSZiyue Zhang          csBundle(2 * i + 2).srcType(0) := SrcType.vp
617fc85f18fSZiyue Zhang          csBundle(2 * i + 2).lsrc(0) := VECTOR_TMP_REG_LMUL.U
618d91483a6Sfdy          // csBundle(2 * i + 2).lsrc(1) := src2 + i.U         // DontCare
619fc85f18fSZiyue Zhang          csBundle(2 * i + 2).lsrc(2) := VECTOR_TMP_REG_LMUL.U + 1.U
620d91483a6Sfdy          csBundle(2 * i + 2).ldest := dest + i.U
621d91483a6Sfdy          csBundle(2 * i + 2).uopIdx := (2 * i + 1).U
622d91483a6Sfdy        }
623d91483a6Sfdy      }
6248cbcda9aSZiyue Zhang      csBundle(numOfUop - 1.U).srcType(0) := SrcType.vp
6258cbcda9aSZiyue Zhang      csBundle(numOfUop - 1.U).lsrc(0) := VECTOR_TMP_REG_LMUL.U
626d91483a6Sfdy      csBundle(numOfUop - 1.U).ldest := dest + lmul - 1.U
627d91483a6Sfdy    }
62817ec87f2SXuan Hu    is(UopSplitType.VEC_FSLIDE1DOWN) {
629d91483a6Sfdy      //LMUL
630d91483a6Sfdy      for (i <- 0 until MAX_VLMUL) {
631d91483a6Sfdy        csBundle(2 * i).srcType(0) := SrcType.vp
632d91483a6Sfdy        csBundle(2 * i).srcType(1) := SrcType.vp
633d91483a6Sfdy        csBundle(2 * i).lsrc(0) := src2 + (i + 1).U
634d91483a6Sfdy        csBundle(2 * i).lsrc(1) := src2 + i.U
635d91483a6Sfdy        csBundle(2 * i).lsrc(2) := dest + i.U
636d91483a6Sfdy        csBundle(2 * i).ldest := VECTOR_TMP_REG_LMUL.U
637d91483a6Sfdy        csBundle(2 * i).uopIdx := (2 * i).U
638d91483a6Sfdy        csBundle(2 * i + 1).srcType(0) := SrcType.fp
639d91483a6Sfdy        csBundle(2 * i + 1).lsrc(0) := src1
640d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := VECTOR_TMP_REG_LMUL.U
641d91483a6Sfdy        csBundle(2 * i + 1).ldest := dest + i.U
642d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i + 1).U
643d91483a6Sfdy      }
644d91483a6Sfdy      csBundle(numOfUop - 1.U).srcType(0) := SrcType.fp
645d91483a6Sfdy      csBundle(numOfUop - 1.U).lsrc(0) := src1
646d91483a6Sfdy      csBundle(numOfUop - 1.U).ldest := dest + lmul - 1.U
647d91483a6Sfdy    }
64817ec87f2SXuan Hu    is(UopSplitType.VEC_VRED) {
649aaa08c5aSxiaofeibao-xjtu      when(vlmulReg === "b001".U) {
650d91483a6Sfdy        csBundle(0).srcType(2) := SrcType.DC
651d91483a6Sfdy        csBundle(0).lsrc(0) := src2 + 1.U
652d91483a6Sfdy        csBundle(0).lsrc(1) := src2
653d91483a6Sfdy        csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
654d91483a6Sfdy        csBundle(0).uopIdx := 0.U
655d91483a6Sfdy      }
656aaa08c5aSxiaofeibao-xjtu      when(vlmulReg === "b010".U) {
657d91483a6Sfdy        csBundle(0).srcType(2) := SrcType.DC
658d91483a6Sfdy        csBundle(0).lsrc(0) := src2 + 1.U
659d91483a6Sfdy        csBundle(0).lsrc(1) := src2
660d91483a6Sfdy        csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
661d91483a6Sfdy        csBundle(0).uopIdx := 0.U
662d91483a6Sfdy
663d91483a6Sfdy        csBundle(1).srcType(2) := SrcType.DC
664d91483a6Sfdy        csBundle(1).lsrc(0) := src2 + 3.U
665d91483a6Sfdy        csBundle(1).lsrc(1) := src2 + 2.U
666d91483a6Sfdy        csBundle(1).ldest := (VECTOR_TMP_REG_LMUL + 1).U
667d91483a6Sfdy        csBundle(1).uopIdx := 1.U
668d91483a6Sfdy
669d91483a6Sfdy        csBundle(2).srcType(2) := SrcType.DC
670d91483a6Sfdy        csBundle(2).lsrc(0) := (VECTOR_TMP_REG_LMUL + 1).U
671d91483a6Sfdy        csBundle(2).lsrc(1) := VECTOR_TMP_REG_LMUL.U
672d91483a6Sfdy        csBundle(2).ldest := (VECTOR_TMP_REG_LMUL + 2).U
673d91483a6Sfdy        csBundle(2).uopIdx := 2.U
674d91483a6Sfdy      }
675aaa08c5aSxiaofeibao-xjtu      when(vlmulReg === "b011".U) {
676d91483a6Sfdy        for (i <- 0 until MAX_VLMUL) {
677d91483a6Sfdy          if (i < MAX_VLMUL - MAX_VLMUL / 2) {
678d91483a6Sfdy            csBundle(i).lsrc(0) := src2 + (i * 2 + 1).U
679d91483a6Sfdy            csBundle(i).lsrc(1) := src2 + (i * 2).U
680d91483a6Sfdy            csBundle(i).ldest := (VECTOR_TMP_REG_LMUL + i).U
681d91483a6Sfdy          } else if (i < MAX_VLMUL - MAX_VLMUL / 4) {
682d91483a6Sfdy            csBundle(i).lsrc(0) := (VECTOR_TMP_REG_LMUL + (i - MAX_VLMUL / 2) * 2 + 1).U
683d91483a6Sfdy            csBundle(i).lsrc(1) := (VECTOR_TMP_REG_LMUL + (i - MAX_VLMUL / 2) * 2).U
684d91483a6Sfdy            csBundle(i).ldest := (VECTOR_TMP_REG_LMUL + i).U
685d91483a6Sfdy          } else if (i < MAX_VLMUL - MAX_VLMUL / 8) {
686d91483a6Sfdy            csBundle(6).lsrc(0) := (VECTOR_TMP_REG_LMUL + 5).U
687d91483a6Sfdy            csBundle(6).lsrc(1) := (VECTOR_TMP_REG_LMUL + 4).U
688d91483a6Sfdy            csBundle(6).ldest := (VECTOR_TMP_REG_LMUL + 6).U
689d91483a6Sfdy          }
690d91483a6Sfdy          csBundle(i).srcType(2) := SrcType.DC
691d91483a6Sfdy          csBundle(i).uopIdx := i.U
692d91483a6Sfdy        }
693d91483a6Sfdy      }
694aaa08c5aSxiaofeibao-xjtu      when(vlmulReg.orR) {
695d91483a6Sfdy        csBundle(numOfUop - 1.U).srcType(2) := SrcType.vp
696d91483a6Sfdy        csBundle(numOfUop - 1.U).lsrc(0) := src1
697d91483a6Sfdy        csBundle(numOfUop - 1.U).lsrc(1) := VECTOR_TMP_REG_LMUL.U + numOfUop - 2.U
698d91483a6Sfdy        csBundle(numOfUop - 1.U).lsrc(2) := dest
699d91483a6Sfdy        csBundle(numOfUop - 1.U).ldest := dest
700d91483a6Sfdy        csBundle(numOfUop - 1.U).uopIdx := numOfUop - 1.U
701d91483a6Sfdy      }
702d91483a6Sfdy    }
703582849ffSxiaofeibao-xjtu    is(UopSplitType.VEC_VFRED) {
704aaa08c5aSxiaofeibao-xjtu      val vlmul = vlmulReg
705aaa08c5aSxiaofeibao-xjtu      val vsew = vsewReg
706582849ffSxiaofeibao-xjtu      when(vlmul === VLmul.m8){
707582849ffSxiaofeibao-xjtu        for (i <- 0 until 4) {
708582849ffSxiaofeibao-xjtu          csBundle(i).lsrc(0) := src2 + (i * 2 + 1).U
709582849ffSxiaofeibao-xjtu          csBundle(i).lsrc(1) := src2 + (i * 2).U
710582849ffSxiaofeibao-xjtu          csBundle(i).ldest := (VECTOR_TMP_REG_LMUL + i).U
711582849ffSxiaofeibao-xjtu          csBundle(i).uopIdx := i.U
712582849ffSxiaofeibao-xjtu        }
713582849ffSxiaofeibao-xjtu        for (i <- 4 until 6) {
714582849ffSxiaofeibao-xjtu          csBundle(i).lsrc(0) := (VECTOR_TMP_REG_LMUL + (i - 4) * 2 + 1).U
715582849ffSxiaofeibao-xjtu          csBundle(i).lsrc(1) := (VECTOR_TMP_REG_LMUL + (i - 4) * 2).U
716582849ffSxiaofeibao-xjtu          csBundle(i).ldest := (VECTOR_TMP_REG_LMUL + i).U
717582849ffSxiaofeibao-xjtu          csBundle(i).uopIdx := i.U
718582849ffSxiaofeibao-xjtu        }
719582849ffSxiaofeibao-xjtu        csBundle(6).lsrc(0) := (VECTOR_TMP_REG_LMUL + 5).U
720582849ffSxiaofeibao-xjtu        csBundle(6).lsrc(1) := (VECTOR_TMP_REG_LMUL + 4).U
721582849ffSxiaofeibao-xjtu        csBundle(6).ldest := (VECTOR_TMP_REG_LMUL + 6).U
722582849ffSxiaofeibao-xjtu        csBundle(6).uopIdx := 6.U
723582849ffSxiaofeibao-xjtu        when(vsew === VSew.e64) {
724582849ffSxiaofeibao-xjtu          csBundle(7).lsrc(0) := (VECTOR_TMP_REG_LMUL + 6).U
725582849ffSxiaofeibao-xjtu          csBundle(7).lsrc(1) := (VECTOR_TMP_REG_LMUL + 6).U
726582849ffSxiaofeibao-xjtu          csBundle(7).ldest := (VECTOR_TMP_REG_LMUL + 7).U
727582849ffSxiaofeibao-xjtu          csBundle(7).vpu.fpu.isFoldTo1_2 := true.B
728582849ffSxiaofeibao-xjtu          csBundle(7).uopIdx := 7.U
729582849ffSxiaofeibao-xjtu          csBundle(8).lsrc(0) := src1
730582849ffSxiaofeibao-xjtu          csBundle(8).lsrc(1) := (VECTOR_TMP_REG_LMUL + 7).U
731582849ffSxiaofeibao-xjtu          csBundle(8).ldest := dest
732582849ffSxiaofeibao-xjtu          csBundle(8).uopIdx := 8.U
733582849ffSxiaofeibao-xjtu        }
734582849ffSxiaofeibao-xjtu        when(vsew === VSew.e32) {
735582849ffSxiaofeibao-xjtu          csBundle(7).lsrc(0) := (VECTOR_TMP_REG_LMUL + 6).U
736582849ffSxiaofeibao-xjtu          csBundle(7).lsrc(1) := (VECTOR_TMP_REG_LMUL + 6).U
737582849ffSxiaofeibao-xjtu          csBundle(7).ldest := (VECTOR_TMP_REG_LMUL + 7).U
738582849ffSxiaofeibao-xjtu          csBundle(7).vpu.fpu.isFoldTo1_2 := true.B
739582849ffSxiaofeibao-xjtu          csBundle(7).uopIdx := 7.U
740582849ffSxiaofeibao-xjtu          csBundle(8).lsrc(0) := (VECTOR_TMP_REG_LMUL + 7).U
741582849ffSxiaofeibao-xjtu          csBundle(8).lsrc(1) := (VECTOR_TMP_REG_LMUL + 7).U
742582849ffSxiaofeibao-xjtu          csBundle(8).ldest := (VECTOR_TMP_REG_LMUL + 8).U
743582849ffSxiaofeibao-xjtu          csBundle(8).vpu.fpu.isFoldTo1_4 := true.B
744582849ffSxiaofeibao-xjtu          csBundle(8).uopIdx := 8.U
745582849ffSxiaofeibao-xjtu          csBundle(9).lsrc(0) := src1
746582849ffSxiaofeibao-xjtu          csBundle(9).lsrc(1) := (VECTOR_TMP_REG_LMUL + 8).U
747582849ffSxiaofeibao-xjtu          csBundle(9).ldest := dest
748582849ffSxiaofeibao-xjtu          csBundle(9).uopIdx := 9.U
749582849ffSxiaofeibao-xjtu        }
750582849ffSxiaofeibao-xjtu        when(vsew === VSew.e16) {
751582849ffSxiaofeibao-xjtu          csBundle(7).lsrc(0) := (VECTOR_TMP_REG_LMUL + 6).U
752582849ffSxiaofeibao-xjtu          csBundle(7).lsrc(1) := (VECTOR_TMP_REG_LMUL + 6).U
753582849ffSxiaofeibao-xjtu          csBundle(7).ldest := (VECTOR_TMP_REG_LMUL + 7).U
754582849ffSxiaofeibao-xjtu          csBundle(7).vpu.fpu.isFoldTo1_2 := true.B
755582849ffSxiaofeibao-xjtu          csBundle(7).uopIdx := 7.U
756582849ffSxiaofeibao-xjtu          csBundle(8).lsrc(0) := (VECTOR_TMP_REG_LMUL + 7).U
757582849ffSxiaofeibao-xjtu          csBundle(8).lsrc(1) := (VECTOR_TMP_REG_LMUL + 7).U
758582849ffSxiaofeibao-xjtu          csBundle(8).ldest := (VECTOR_TMP_REG_LMUL + 8).U
759582849ffSxiaofeibao-xjtu          csBundle(8).vpu.fpu.isFoldTo1_4 := true.B
760582849ffSxiaofeibao-xjtu          csBundle(8).uopIdx := 8.U
761582849ffSxiaofeibao-xjtu          csBundle(9).lsrc(0) := (VECTOR_TMP_REG_LMUL + 8).U
762582849ffSxiaofeibao-xjtu          csBundle(9).lsrc(1) := (VECTOR_TMP_REG_LMUL + 8).U
763582849ffSxiaofeibao-xjtu          csBundle(9).ldest := (VECTOR_TMP_REG_LMUL + 9).U
764582849ffSxiaofeibao-xjtu          csBundle(9).vpu.fpu.isFoldTo1_8 := true.B
765582849ffSxiaofeibao-xjtu          csBundle(9).uopIdx := 9.U
766582849ffSxiaofeibao-xjtu          csBundle(10).lsrc(0) := src1
767582849ffSxiaofeibao-xjtu          csBundle(10).lsrc(1) := (VECTOR_TMP_REG_LMUL + 9).U
768582849ffSxiaofeibao-xjtu          csBundle(10).ldest := dest
769582849ffSxiaofeibao-xjtu          csBundle(10).uopIdx := 10.U
770582849ffSxiaofeibao-xjtu        }
771582849ffSxiaofeibao-xjtu      }
772582849ffSxiaofeibao-xjtu      when(vlmul === VLmul.m4) {
773582849ffSxiaofeibao-xjtu        for (i <- 0 until 2) {
774582849ffSxiaofeibao-xjtu          csBundle(i).lsrc(0) := src2 + (i * 2 + 1).U
775582849ffSxiaofeibao-xjtu          csBundle(i).lsrc(1) := src2 + (i * 2).U
776582849ffSxiaofeibao-xjtu          csBundle(i).ldest := (VECTOR_TMP_REG_LMUL + i).U
777582849ffSxiaofeibao-xjtu          csBundle(i).uopIdx := i.U
778582849ffSxiaofeibao-xjtu        }
779582849ffSxiaofeibao-xjtu        csBundle(2).lsrc(0) := (VECTOR_TMP_REG_LMUL + 1).U
780582849ffSxiaofeibao-xjtu        csBundle(2).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
781582849ffSxiaofeibao-xjtu        csBundle(2).ldest := (VECTOR_TMP_REG_LMUL + 2).U
782582849ffSxiaofeibao-xjtu        csBundle(2).uopIdx := 2.U
783582849ffSxiaofeibao-xjtu        when(vsew === VSew.e64) {
784582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(0) := (VECTOR_TMP_REG_LMUL + 2).U
785582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(1) := (VECTOR_TMP_REG_LMUL + 2).U
786582849ffSxiaofeibao-xjtu          csBundle(3).ldest := (VECTOR_TMP_REG_LMUL + 3).U
787582849ffSxiaofeibao-xjtu          csBundle(3).vpu.fpu.isFoldTo1_2 := true.B
788582849ffSxiaofeibao-xjtu          csBundle(3).uopIdx := 3.U
789582849ffSxiaofeibao-xjtu          csBundle(4).lsrc(0) := src1
790582849ffSxiaofeibao-xjtu          csBundle(4).lsrc(1) := (VECTOR_TMP_REG_LMUL + 3).U
791582849ffSxiaofeibao-xjtu          csBundle(4).ldest := dest
792582849ffSxiaofeibao-xjtu          csBundle(4).uopIdx := 4.U
793582849ffSxiaofeibao-xjtu        }
794582849ffSxiaofeibao-xjtu        when(vsew === VSew.e32) {
795582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(0) := (VECTOR_TMP_REG_LMUL + 2).U
796582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(1) := (VECTOR_TMP_REG_LMUL + 2).U
797582849ffSxiaofeibao-xjtu          csBundle(3).ldest := (VECTOR_TMP_REG_LMUL + 3).U
798582849ffSxiaofeibao-xjtu          csBundle(3).vpu.fpu.isFoldTo1_2 := true.B
799582849ffSxiaofeibao-xjtu          csBundle(3).uopIdx := 3.U
800582849ffSxiaofeibao-xjtu          csBundle(4).lsrc(0) := (VECTOR_TMP_REG_LMUL + 3).U
801582849ffSxiaofeibao-xjtu          csBundle(4).lsrc(1) := (VECTOR_TMP_REG_LMUL + 3).U
802582849ffSxiaofeibao-xjtu          csBundle(4).ldest := (VECTOR_TMP_REG_LMUL + 4).U
803582849ffSxiaofeibao-xjtu          csBundle(4).vpu.fpu.isFoldTo1_4 := true.B
804582849ffSxiaofeibao-xjtu          csBundle(4).uopIdx := 4.U
805582849ffSxiaofeibao-xjtu          csBundle(5).lsrc(0) := src1
806582849ffSxiaofeibao-xjtu          csBundle(5).lsrc(1) := (VECTOR_TMP_REG_LMUL + 4).U
807582849ffSxiaofeibao-xjtu          csBundle(5).ldest := dest
808582849ffSxiaofeibao-xjtu          csBundle(5).uopIdx := 5.U
809582849ffSxiaofeibao-xjtu        }
810582849ffSxiaofeibao-xjtu        when(vsew === VSew.e16) {
811582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(0) := (VECTOR_TMP_REG_LMUL + 2).U
812582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(1) := (VECTOR_TMP_REG_LMUL + 2).U
813582849ffSxiaofeibao-xjtu          csBundle(3).ldest := (VECTOR_TMP_REG_LMUL + 3).U
814582849ffSxiaofeibao-xjtu          csBundle(3).vpu.fpu.isFoldTo1_2 := true.B
815582849ffSxiaofeibao-xjtu          csBundle(3).uopIdx := 3.U
816582849ffSxiaofeibao-xjtu          csBundle(4).lsrc(0) := (VECTOR_TMP_REG_LMUL + 3).U
817582849ffSxiaofeibao-xjtu          csBundle(4).lsrc(1) := (VECTOR_TMP_REG_LMUL + 3).U
818582849ffSxiaofeibao-xjtu          csBundle(4).ldest := (VECTOR_TMP_REG_LMUL + 4).U
819582849ffSxiaofeibao-xjtu          csBundle(4).vpu.fpu.isFoldTo1_4 := true.B
820582849ffSxiaofeibao-xjtu          csBundle(4).uopIdx := 4.U
821582849ffSxiaofeibao-xjtu          csBundle(5).lsrc(0) := (VECTOR_TMP_REG_LMUL + 4).U
822582849ffSxiaofeibao-xjtu          csBundle(5).lsrc(1) := (VECTOR_TMP_REG_LMUL + 4).U
823582849ffSxiaofeibao-xjtu          csBundle(5).ldest := (VECTOR_TMP_REG_LMUL + 5).U
824582849ffSxiaofeibao-xjtu          csBundle(5).vpu.fpu.isFoldTo1_8 := true.B
825582849ffSxiaofeibao-xjtu          csBundle(5).uopIdx := 5.U
826582849ffSxiaofeibao-xjtu          csBundle(6).lsrc(0) := src1
827582849ffSxiaofeibao-xjtu          csBundle(6).lsrc(1) := (VECTOR_TMP_REG_LMUL + 5).U
828582849ffSxiaofeibao-xjtu          csBundle(6).ldest := dest
829582849ffSxiaofeibao-xjtu          csBundle(6).uopIdx := 6.U
830582849ffSxiaofeibao-xjtu        }
831582849ffSxiaofeibao-xjtu      }
832582849ffSxiaofeibao-xjtu      when(vlmul === VLmul.m2) {
833582849ffSxiaofeibao-xjtu        csBundle(0).lsrc(0) := src2 + 1.U
834582849ffSxiaofeibao-xjtu        csBundle(0).lsrc(1) := src2 + 0.U
835582849ffSxiaofeibao-xjtu        csBundle(0).ldest := (VECTOR_TMP_REG_LMUL + 0).U
836582849ffSxiaofeibao-xjtu        csBundle(0).uopIdx := 0.U
837582849ffSxiaofeibao-xjtu        when(vsew === VSew.e64) {
838582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(0) := (VECTOR_TMP_REG_LMUL + 0).U
839582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
840582849ffSxiaofeibao-xjtu          csBundle(1).ldest := (VECTOR_TMP_REG_LMUL + 1).U
841582849ffSxiaofeibao-xjtu          csBundle(1).vpu.fpu.isFoldTo1_2 := true.B
842582849ffSxiaofeibao-xjtu          csBundle(1).uopIdx := 1.U
843582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(0) := src1
844582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(1) := (VECTOR_TMP_REG_LMUL + 1).U
845582849ffSxiaofeibao-xjtu          csBundle(2).ldest := dest
846582849ffSxiaofeibao-xjtu          csBundle(2).uopIdx := 2.U
847582849ffSxiaofeibao-xjtu        }
848582849ffSxiaofeibao-xjtu        when(vsew === VSew.e32) {
849582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(0) := (VECTOR_TMP_REG_LMUL + 0).U
850582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
851582849ffSxiaofeibao-xjtu          csBundle(1).ldest := (VECTOR_TMP_REG_LMUL + 1).U
852582849ffSxiaofeibao-xjtu          csBundle(1).vpu.fpu.isFoldTo1_2 := true.B
853582849ffSxiaofeibao-xjtu          csBundle(1).uopIdx := 1.U
854582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(0) := (VECTOR_TMP_REG_LMUL + 1).U
855582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(1) := (VECTOR_TMP_REG_LMUL + 1).U
856582849ffSxiaofeibao-xjtu          csBundle(2).ldest := (VECTOR_TMP_REG_LMUL + 2).U
857582849ffSxiaofeibao-xjtu          csBundle(2).vpu.fpu.isFoldTo1_4 := true.B
858582849ffSxiaofeibao-xjtu          csBundle(2).uopIdx := 2.U
859582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(0) := src1
860582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(1) := (VECTOR_TMP_REG_LMUL + 2).U
861582849ffSxiaofeibao-xjtu          csBundle(3).ldest := dest
862582849ffSxiaofeibao-xjtu          csBundle(3).uopIdx := 3.U
863582849ffSxiaofeibao-xjtu        }
864582849ffSxiaofeibao-xjtu        when(vsew === VSew.e16) {
865582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(0) := (VECTOR_TMP_REG_LMUL + 0).U
866582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
867582849ffSxiaofeibao-xjtu          csBundle(1).ldest := (VECTOR_TMP_REG_LMUL + 1).U
868582849ffSxiaofeibao-xjtu          csBundle(1).vpu.fpu.isFoldTo1_2 := true.B
869582849ffSxiaofeibao-xjtu          csBundle(1).uopIdx := 1.U
870582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(0) := (VECTOR_TMP_REG_LMUL + 1).U
871582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(1) := (VECTOR_TMP_REG_LMUL + 1).U
872582849ffSxiaofeibao-xjtu          csBundle(2).ldest := (VECTOR_TMP_REG_LMUL + 2).U
873582849ffSxiaofeibao-xjtu          csBundle(2).vpu.fpu.isFoldTo1_4 := true.B
874582849ffSxiaofeibao-xjtu          csBundle(2).uopIdx := 2.U
875582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(0) := (VECTOR_TMP_REG_LMUL + 2).U
876582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(1) := (VECTOR_TMP_REG_LMUL + 2).U
877582849ffSxiaofeibao-xjtu          csBundle(3).ldest := (VECTOR_TMP_REG_LMUL + 3).U
878582849ffSxiaofeibao-xjtu          csBundle(3).vpu.fpu.isFoldTo1_8 := true.B
879582849ffSxiaofeibao-xjtu          csBundle(3).uopIdx := 3.U
880582849ffSxiaofeibao-xjtu          csBundle(4).lsrc(0) := src1
881582849ffSxiaofeibao-xjtu          csBundle(4).lsrc(1) := (VECTOR_TMP_REG_LMUL + 3).U
882582849ffSxiaofeibao-xjtu          csBundle(4).ldest := dest
883582849ffSxiaofeibao-xjtu          csBundle(4).uopIdx := 4.U
884582849ffSxiaofeibao-xjtu        }
885582849ffSxiaofeibao-xjtu      }
886582849ffSxiaofeibao-xjtu      when(vlmul === VLmul.m1) {
887582849ffSxiaofeibao-xjtu        when(vsew === VSew.e64) {
888582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(0) := src2
889582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(1) := src2
890582849ffSxiaofeibao-xjtu          csBundle(0).ldest := (VECTOR_TMP_REG_LMUL + 0).U
891582849ffSxiaofeibao-xjtu          csBundle(0).vpu.fpu.isFoldTo1_2 := true.B
892582849ffSxiaofeibao-xjtu          csBundle(0).uopIdx := 0.U
893582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(0) := src1
894582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
895582849ffSxiaofeibao-xjtu          csBundle(1).ldest := dest
896582849ffSxiaofeibao-xjtu          csBundle(1).uopIdx := 1.U
897582849ffSxiaofeibao-xjtu        }
898582849ffSxiaofeibao-xjtu        when(vsew === VSew.e32) {
899582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(0) := src2
900582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(1) := src2
901582849ffSxiaofeibao-xjtu          csBundle(0).ldest := (VECTOR_TMP_REG_LMUL + 0).U
902582849ffSxiaofeibao-xjtu          csBundle(0).vpu.fpu.isFoldTo1_2 := true.B
903582849ffSxiaofeibao-xjtu          csBundle(0).uopIdx := 0.U
904582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(0) := (VECTOR_TMP_REG_LMUL + 0).U
905582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
906582849ffSxiaofeibao-xjtu          csBundle(1).ldest := (VECTOR_TMP_REG_LMUL + 1).U
907582849ffSxiaofeibao-xjtu          csBundle(1).vpu.fpu.isFoldTo1_4 := true.B
908582849ffSxiaofeibao-xjtu          csBundle(1).uopIdx := 1.U
909582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(0) := src1
910582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(1) := (VECTOR_TMP_REG_LMUL + 1).U
911582849ffSxiaofeibao-xjtu          csBundle(2).ldest := dest
912582849ffSxiaofeibao-xjtu          csBundle(2).uopIdx := 2.U
913582849ffSxiaofeibao-xjtu        }
914582849ffSxiaofeibao-xjtu        when(vsew === VSew.e16) {
915582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(0) := src2
916582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(1) := src2
917582849ffSxiaofeibao-xjtu          csBundle(0).ldest := (VECTOR_TMP_REG_LMUL + 0).U
918582849ffSxiaofeibao-xjtu          csBundle(0).vpu.fpu.isFoldTo1_2 := true.B
919582849ffSxiaofeibao-xjtu          csBundle(0).uopIdx := 0.U
920582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(0) := (VECTOR_TMP_REG_LMUL + 0).U
921582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
922582849ffSxiaofeibao-xjtu          csBundle(1).ldest := (VECTOR_TMP_REG_LMUL + 1).U
923582849ffSxiaofeibao-xjtu          csBundle(1).vpu.fpu.isFoldTo1_4 := true.B
924582849ffSxiaofeibao-xjtu          csBundle(1).uopIdx := 1.U
925582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(0) := (VECTOR_TMP_REG_LMUL + 1).U
926582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(1) := (VECTOR_TMP_REG_LMUL + 1).U
927582849ffSxiaofeibao-xjtu          csBundle(2).ldest := (VECTOR_TMP_REG_LMUL + 2).U
928582849ffSxiaofeibao-xjtu          csBundle(2).vpu.fpu.isFoldTo1_8 := true.B
929582849ffSxiaofeibao-xjtu          csBundle(2).uopIdx := 2.U
930582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(0) := src1
931582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(1) := (VECTOR_TMP_REG_LMUL + 2).U
932582849ffSxiaofeibao-xjtu          csBundle(3).ldest := dest
933582849ffSxiaofeibao-xjtu          csBundle(3).uopIdx := 3.U
934582849ffSxiaofeibao-xjtu        }
935582849ffSxiaofeibao-xjtu      }
936582849ffSxiaofeibao-xjtu      when(vlmul === VLmul.mf2) {
937582849ffSxiaofeibao-xjtu        when(vsew === VSew.e32) {
938582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(0) := src2
939582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(1) := src2
940582849ffSxiaofeibao-xjtu          csBundle(0).ldest := (VECTOR_TMP_REG_LMUL + 0).U
941582849ffSxiaofeibao-xjtu          csBundle(0).vpu.fpu.isFoldTo1_4 := true.B
942582849ffSxiaofeibao-xjtu          csBundle(0).uopIdx := 0.U
943582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(0) := src1
944582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
945582849ffSxiaofeibao-xjtu          csBundle(1).ldest := dest
946582849ffSxiaofeibao-xjtu          csBundle(1).uopIdx := 1.U
947582849ffSxiaofeibao-xjtu        }
948582849ffSxiaofeibao-xjtu        when(vsew === VSew.e16) {
949582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(0) := src2
950582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(1) := src2
951582849ffSxiaofeibao-xjtu          csBundle(0).ldest := (VECTOR_TMP_REG_LMUL + 0).U
952582849ffSxiaofeibao-xjtu          csBundle(0).vpu.fpu.isFoldTo1_4 := true.B
953582849ffSxiaofeibao-xjtu          csBundle(0).uopIdx := 0.U
954582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(0) := (VECTOR_TMP_REG_LMUL + 0).U
955582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
956582849ffSxiaofeibao-xjtu          csBundle(1).ldest := (VECTOR_TMP_REG_LMUL + 1).U
957582849ffSxiaofeibao-xjtu          csBundle(1).vpu.fpu.isFoldTo1_8 := true.B
958582849ffSxiaofeibao-xjtu          csBundle(1).uopIdx := 1.U
959582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(0) := src1
960582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(1) := (VECTOR_TMP_REG_LMUL + 1).U
961582849ffSxiaofeibao-xjtu          csBundle(2).ldest := dest
962582849ffSxiaofeibao-xjtu          csBundle(2).uopIdx := 2.U
963582849ffSxiaofeibao-xjtu        }
964582849ffSxiaofeibao-xjtu      }
965582849ffSxiaofeibao-xjtu      when(vlmul === VLmul.mf4) {
966582849ffSxiaofeibao-xjtu        when(vsew === VSew.e16) {
967582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(0) := src2
968582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(1) := src2
969582849ffSxiaofeibao-xjtu          csBundle(0).ldest := (VECTOR_TMP_REG_LMUL + 0).U
970582849ffSxiaofeibao-xjtu          csBundle(0).vpu.fpu.isFoldTo1_8 := true.B
971582849ffSxiaofeibao-xjtu          csBundle(0).uopIdx := 0.U
972582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(0) := src1
973582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
974582849ffSxiaofeibao-xjtu          csBundle(1).ldest := dest
975582849ffSxiaofeibao-xjtu          csBundle(1).uopIdx := 1.U
976582849ffSxiaofeibao-xjtu        }
977582849ffSxiaofeibao-xjtu      }
978582849ffSxiaofeibao-xjtu    }
979d91483a6Sfdy
980b94b1889Sxiaofeibao-xjtu    is(UopSplitType.VEC_VFREDOSUM) {
981b94b1889Sxiaofeibao-xjtu      import yunsuan.VfaluType
982aaa08c5aSxiaofeibao-xjtu      val vlmul = vlmulReg
983aaa08c5aSxiaofeibao-xjtu      val vsew = vsewReg
984b94b1889Sxiaofeibao-xjtu      val isWiden = decodedInstsSimple.fuOpType === VfaluType.vfwredosum
985b94b1889Sxiaofeibao-xjtu      when(vlmul === VLmul.m8) {
986b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e64) {
987b94b1889Sxiaofeibao-xjtu          val vlmax = 16
988b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
989b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
990b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 2 == 0) src2 + (i/2).U else VECTOR_TMP_REG_LMUL.U)
991b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 2 == 0) src2 + (i/2).U else if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
992b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
993b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_2 := (if (i % 2 == 0) false.B else true.B)
994b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
995b94b1889Sxiaofeibao-xjtu          }
996b94b1889Sxiaofeibao-xjtu        }
997b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e32) {
998b94b1889Sxiaofeibao-xjtu          val vlmax = 32
999b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1000b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1001b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 4 == 0) src2 + (i/4).U else VECTOR_TMP_REG_LMUL.U)
1002b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 4 == 0) src2 + (i/4).U else if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1003b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1004b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := (if (i % 4 == 0) false.B else true.B)
1005b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1006b94b1889Sxiaofeibao-xjtu          }
1007b94b1889Sxiaofeibao-xjtu        }
1008b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e16) {
1009b94b1889Sxiaofeibao-xjtu          val vlmax = 64
1010b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1011b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1012b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 8 == 0) src2 + (i/8).U else VECTOR_TMP_REG_LMUL.U)
1013b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 8 == 0) src2 + (i/8).U else if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1014b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1015b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_8 := (if (i % 8 == 0) false.B else true.B)
1016b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1017b94b1889Sxiaofeibao-xjtu          }
1018b94b1889Sxiaofeibao-xjtu        }
1019b94b1889Sxiaofeibao-xjtu      }
1020b94b1889Sxiaofeibao-xjtu      when(vlmul === VLmul.m4) {
1021b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e64) {
1022b94b1889Sxiaofeibao-xjtu          val vlmax = 8
1023b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1024b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1025b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 2 == 0) src2 + (i/2).U else VECTOR_TMP_REG_LMUL.U)
1026b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 2 == 0) src2 + (i/2).U else if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1027b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1028b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_2 := (if (i % 2 == 0) false.B else true.B)
1029b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1030b94b1889Sxiaofeibao-xjtu          }
1031b94b1889Sxiaofeibao-xjtu        }
1032b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e32) {
1033b94b1889Sxiaofeibao-xjtu          val vlmax = 16
1034b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1035b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1036b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 4 == 0) src2 + (i/4).U else VECTOR_TMP_REG_LMUL.U)
1037b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 4 == 0) src2 + (i/4).U else if (i == vlmax - 1) dest else if (i % 4 == 1) Mux(isWiden, src2 + (i/4).U, VECTOR_TMP_REG_LMUL.U) else VECTOR_TMP_REG_LMUL.U)
1038b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1039b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_2 := isWiden && (if (i % 4 == 0) false.B else true.B)
1040b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := !isWiden && (if (i % 4 == 0) false.B else true.B)
1041b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1042b94b1889Sxiaofeibao-xjtu          }
1043b94b1889Sxiaofeibao-xjtu        }
1044b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e16) {
1045b94b1889Sxiaofeibao-xjtu          val vlmax = 32
1046b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1047b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1048b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 8 == 0) src2 + (i/8).U else VECTOR_TMP_REG_LMUL.U)
1049b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 8 == 0) src2 + (i/8).U else if (i == vlmax - 1) dest else if (i % 8 == 1) Mux(isWiden, src2 + (i/8).U, VECTOR_TMP_REG_LMUL.U) else VECTOR_TMP_REG_LMUL.U)
1050b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1051b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := isWiden && (if (i % 8 == 0) false.B else true.B)
1052b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_8 := !isWiden && (if (i % 8 == 0) false.B else true.B)
1053b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1054b94b1889Sxiaofeibao-xjtu          }
1055b94b1889Sxiaofeibao-xjtu        }
1056b94b1889Sxiaofeibao-xjtu      }
1057b94b1889Sxiaofeibao-xjtu      when(vlmul === VLmul.m2) {
1058b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e64) {
1059b94b1889Sxiaofeibao-xjtu          val vlmax = 4
1060b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1061b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1062b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 2 == 0) src2 + (i/2).U else VECTOR_TMP_REG_LMUL.U)
1063b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 2 == 0) src2 + (i/2).U else if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1064b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1065b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_2 := (if (i % 2 == 0) false.B else true.B)
1066b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1067b94b1889Sxiaofeibao-xjtu          }
1068b94b1889Sxiaofeibao-xjtu        }
1069b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e32) {
1070b94b1889Sxiaofeibao-xjtu          val vlmax = 8
1071b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1072b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1073b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 4 == 0) src2 + (i/4).U else VECTOR_TMP_REG_LMUL.U)
1074b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 4 == 0) src2 + (i/4).U else if (i == vlmax - 1) dest else if (i % 4 == 1) Mux(isWiden, src2 + (i/4).U, VECTOR_TMP_REG_LMUL.U) else VECTOR_TMP_REG_LMUL.U)
1075b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1076b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_2 := isWiden && (if (i % 4 == 0) false.B else true.B)
1077b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := !isWiden && (if (i % 4 == 0) false.B else true.B)
1078b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1079b94b1889Sxiaofeibao-xjtu          }
1080b94b1889Sxiaofeibao-xjtu        }
1081b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e16) {
1082b94b1889Sxiaofeibao-xjtu          val vlmax = 16
1083b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1084b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1085b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 8 == 0) src2 + (i/8).U else VECTOR_TMP_REG_LMUL.U)
1086b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 8 == 0) src2 + (i/8).U else if (i == vlmax - 1) dest else if (i % 8 == 1) Mux(isWiden, src2 + (i/8).U, VECTOR_TMP_REG_LMUL.U) else VECTOR_TMP_REG_LMUL.U)
1087b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1088b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := isWiden && (if (i % 8 == 0) false.B else true.B)
1089b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_8 := !isWiden && (if (i % 8 == 0) false.B else true.B)
1090b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1091b94b1889Sxiaofeibao-xjtu          }
1092b94b1889Sxiaofeibao-xjtu        }
1093b94b1889Sxiaofeibao-xjtu      }
1094b94b1889Sxiaofeibao-xjtu      when(vlmul === VLmul.m1) {
1095b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e64) {
1096b94b1889Sxiaofeibao-xjtu          val vlmax = 2
1097b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1098b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1099b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 2 == 0) src2 + (i/2).U else VECTOR_TMP_REG_LMUL.U)
1100b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 2 == 0) src2 + (i/2).U else if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1101b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1102b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_2 := (if (i % 2 == 0) false.B else true.B)
1103b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1104b94b1889Sxiaofeibao-xjtu          }
1105b94b1889Sxiaofeibao-xjtu        }
1106b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e32) {
1107b94b1889Sxiaofeibao-xjtu          val vlmax = 4
1108b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1109b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1110b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 4 == 0) src2 + (i/4).U else VECTOR_TMP_REG_LMUL.U)
1111b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 4 == 0) src2 + (i/4).U else if (i == vlmax - 1) dest else if (i % 4 == 1) Mux(isWiden, src2 + (i/4).U, VECTOR_TMP_REG_LMUL.U) else VECTOR_TMP_REG_LMUL.U)
1112b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1113b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_2 := isWiden && (if (i % 4 == 0) false.B else true.B)
1114b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := !isWiden && (if (i % 4 == 0) false.B else true.B)
1115b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1116b94b1889Sxiaofeibao-xjtu          }
1117b94b1889Sxiaofeibao-xjtu        }
1118b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e16) {
1119b94b1889Sxiaofeibao-xjtu          val vlmax = 8
1120b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1121b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1122b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 8 == 0) src2 + (i/8).U else VECTOR_TMP_REG_LMUL.U)
1123b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 8 == 0) src2 + (i/8).U else if (i == vlmax - 1) dest else if (i % 8 == 1) Mux(isWiden, src2 + (i/8).U, VECTOR_TMP_REG_LMUL.U) else VECTOR_TMP_REG_LMUL.U)
1124b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1125b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := isWiden && (if (i % 8 == 0) false.B else true.B)
1126b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_8 := !isWiden && (if (i % 8 == 0) false.B else true.B)
1127b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1128b94b1889Sxiaofeibao-xjtu          }
1129b94b1889Sxiaofeibao-xjtu        }
1130b94b1889Sxiaofeibao-xjtu      }
1131b94b1889Sxiaofeibao-xjtu      when(vlmul === VLmul.mf2) {
1132b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e32) {
1133b94b1889Sxiaofeibao-xjtu          val vlmax = 2
1134b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1135b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1136b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 4 == 0) src2 + (i/4).U else VECTOR_TMP_REG_LMUL.U)
1137b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 4 == 0) src2 + (i/4).U else if (i == vlmax - 1) dest else if (i % 4 == 1) Mux(isWiden, src2 + (i/4).U, VECTOR_TMP_REG_LMUL.U) else VECTOR_TMP_REG_LMUL.U)
1138b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1139b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_2 := isWiden && (if (i % 4 == 0) false.B else true.B)
1140b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := !isWiden && (if (i % 4 == 0) false.B else true.B)
1141b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1142b94b1889Sxiaofeibao-xjtu          }
1143b94b1889Sxiaofeibao-xjtu        }
1144b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e16) {
1145b94b1889Sxiaofeibao-xjtu          val vlmax = 4
1146b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1147b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1148b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 8 == 0) src2 + (i/8).U else VECTOR_TMP_REG_LMUL.U)
1149b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 8 == 0) src2 + (i/8).U else if (i == vlmax - 1) dest else if (i % 8 == 1) Mux(isWiden, src2 + (i/8).U, VECTOR_TMP_REG_LMUL.U) else VECTOR_TMP_REG_LMUL.U)
1150b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1151b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := isWiden && (if (i % 8 == 0) false.B else true.B)
1152b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_8 := !isWiden && (if (i % 8 == 0) false.B else true.B)
1153b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1154b94b1889Sxiaofeibao-xjtu          }
1155b94b1889Sxiaofeibao-xjtu        }
1156b94b1889Sxiaofeibao-xjtu      }
1157b94b1889Sxiaofeibao-xjtu      when(vlmul === VLmul.mf4) {
1158b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e16) {
1159b94b1889Sxiaofeibao-xjtu          val vlmax = 2
1160b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1161b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1162b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 8 == 0) src2 + (i/8).U else VECTOR_TMP_REG_LMUL.U)
1163b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 8 == 0) src2 + (i/8).U else if (i == vlmax - 1) dest else if (i % 8 == 1) Mux(isWiden, src2 + (i/8).U, VECTOR_TMP_REG_LMUL.U) else VECTOR_TMP_REG_LMUL.U)
1164b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1165b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := isWiden && (if (i % 8 == 0) false.B else true.B)
1166b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_8 := !isWiden && (if (i % 8 == 0) false.B else true.B)
1167b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1168b94b1889Sxiaofeibao-xjtu          }
1169b94b1889Sxiaofeibao-xjtu        }
1170b94b1889Sxiaofeibao-xjtu      }
1171b94b1889Sxiaofeibao-xjtu    }
1172d6059658SZiyue Zhang
117317ec87f2SXuan Hu    is(UopSplitType.VEC_SLIDEUP) {
1174d6059658SZiyue Zhang      // i to vector move
1175d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
1176d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
1177d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
1178fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
1179fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
1180d6059658SZiyue Zhang      csBundle(0).fuOpType := Cat(Mux(src1IsImm, IF2VectorType.permImm2vector(2, 0), IF2VectorType.i2vector(2, 0)), vsewReg)
1181fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
1182d91483a6Sfdy      // LMUL
1183d91483a6Sfdy      for (i <- 0 until MAX_VLMUL)
1184d91483a6Sfdy        for (j <- 0 to i) {
11854ee69032SzhanglyGit          val old_vd = if (j == 0) {
11864ee69032SzhanglyGit            dest + i.U
1187fc85f18fSZiyue Zhang          } else (VECTOR_TMP_REG_LMUL + j).U
11884ee69032SzhanglyGit          val vd = if (j == i) {
11894ee69032SzhanglyGit            dest + i.U
1190fc85f18fSZiyue Zhang          } else (VECTOR_TMP_REG_LMUL + j + 1).U
1191fc85f18fSZiyue Zhang          csBundle(i * (i + 1) / 2 + j + 1).srcType(0) := SrcType.vp
1192fc85f18fSZiyue Zhang          csBundle(i * (i + 1) / 2 + j + 1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
1193d91483a6Sfdy          csBundle(i * (i + 1) / 2 + j + 1).lsrc(1) := src2 + j.U
1194d91483a6Sfdy          csBundle(i * (i + 1) / 2 + j + 1).lsrc(2) := old_vd
1195d91483a6Sfdy          csBundle(i * (i + 1) / 2 + j + 1).ldest := vd
1196d91483a6Sfdy          csBundle(i * (i + 1) / 2 + j + 1).uopIdx := (i * (i + 1) / 2 + j).U
1197d91483a6Sfdy        }
1198d91483a6Sfdy    }
1199d91483a6Sfdy
120017ec87f2SXuan Hu    is(UopSplitType.VEC_SLIDEDOWN) {
1201d6059658SZiyue Zhang      // i to vector move
1202d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
1203d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
1204d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
1205fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
1206fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
1207d6059658SZiyue Zhang      csBundle(0).fuOpType := Cat(Mux(src1IsImm, IF2VectorType.permImm2vector(2, 0), IF2VectorType.i2vector(2, 0)), vsewReg)
1208fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
1209d91483a6Sfdy      // LMUL
1210d91483a6Sfdy      for (i <- 0 until MAX_VLMUL)
1211d91483a6Sfdy        for (j <- (0 to i).reverse) {
1212d91483a6Sfdy          when(i.U < lmul) {
12134ee69032SzhanglyGit            val old_vd = if (j == 0) {
12144ee69032SzhanglyGit              dest + lmul - 1.U - i.U
1215fc85f18fSZiyue Zhang            } else (VECTOR_TMP_REG_LMUL + j).U
12164ee69032SzhanglyGit            val vd = if (j == i) {
12174ee69032SzhanglyGit              dest + lmul - 1.U - i.U
1218fc85f18fSZiyue Zhang            } else (VECTOR_TMP_REG_LMUL + j + 1).U
1219fc85f18fSZiyue Zhang            csBundle(numOfUop - (i * (i + 1) / 2 + i - j + 1).U).srcType(0) := SrcType.vp
1220fc85f18fSZiyue Zhang            csBundle(numOfUop - (i * (i + 1) / 2 + i - j + 1).U).lsrc(0) := VECTOR_TMP_REG_LMUL.U
1221d91483a6Sfdy            csBundle(numOfUop - (i * (i + 1) / 2 + i - j + 1).U).lsrc(1) := src2 + lmul - 1.U - j.U
1222d91483a6Sfdy            csBundle(numOfUop - (i * (i + 1) / 2 + i - j + 1).U).lsrc(2) := old_vd
1223d91483a6Sfdy            csBundle(numOfUop - (i * (i + 1) / 2 + i - j + 1).U).ldest := vd
1224d91483a6Sfdy            csBundle(numOfUop - (i * (i + 1) / 2 + i - j + 1).U).uopIdx := numOfUop - (i * (i + 1) / 2 + i - j + 2).U
1225d91483a6Sfdy          }
1226d91483a6Sfdy        }
1227d91483a6Sfdy    }
1228d91483a6Sfdy
122917ec87f2SXuan Hu    is(UopSplitType.VEC_M0X) {
1230d91483a6Sfdy      // LMUL
1231d91483a6Sfdy      for (i <- 0 until MAX_VLMUL) {
1232d91483a6Sfdy        val srcType0 = if (i == 0) SrcType.DC else SrcType.vp
1233d91483a6Sfdy        val ldest = (VECTOR_TMP_REG_LMUL + i).U
1234d91483a6Sfdy        csBundle(i).srcType(0) := srcType0
1235d91483a6Sfdy        csBundle(i).srcType(1) := SrcType.vp
1236d91483a6Sfdy        csBundle(i).rfWen := false.B
1237d91483a6Sfdy        csBundle(i).vecWen := true.B
1238d91483a6Sfdy        csBundle(i).lsrc(0) := (VECTOR_TMP_REG_LMUL + i - 1).U
1239d91483a6Sfdy        csBundle(i).lsrc(1) := src2
1240d91483a6Sfdy        // csBundle(i).lsrc(2) := dest + i.U  DontCare
1241d91483a6Sfdy        csBundle(i).ldest := ldest
1242d91483a6Sfdy        csBundle(i).uopIdx := i.U
1243d91483a6Sfdy      }
1244d91483a6Sfdy      csBundle(lmul - 1.U).vecWen := false.B
1245d91483a6Sfdy      csBundle(lmul - 1.U).fpWen := true.B
1246d91483a6Sfdy      csBundle(lmul - 1.U).ldest := FP_TMP_REG_MV.U
1247d91483a6Sfdy      // FMV_X_D
1248d91483a6Sfdy      csBundle(lmul).srcType(0) := SrcType.fp
1249d91483a6Sfdy      csBundle(lmul).srcType(1) := SrcType.imm
1250d91483a6Sfdy      csBundle(lmul).lsrc(0) := FP_TMP_REG_MV.U
1251d91483a6Sfdy      csBundle(lmul).lsrc(1) := 0.U
1252d91483a6Sfdy      csBundle(lmul).ldest := dest
1253d91483a6Sfdy      csBundle(lmul).fuType := FuType.fmisc.U
1254d91483a6Sfdy      csBundle(lmul).rfWen := true.B
1255d91483a6Sfdy      csBundle(lmul).fpWen := false.B
1256d91483a6Sfdy      csBundle(lmul).vecWen := false.B
1257d91483a6Sfdy      csBundle(lmul).fpu.isAddSub := false.B
1258d91483a6Sfdy      csBundle(lmul).fpu.typeTagIn := FPU.D
1259d91483a6Sfdy      csBundle(lmul).fpu.typeTagOut := FPU.D
1260d91483a6Sfdy      csBundle(lmul).fpu.fromInt := false.B
1261d91483a6Sfdy      csBundle(lmul).fpu.wflags := false.B
1262d91483a6Sfdy      csBundle(lmul).fpu.fpWen := false.B
1263d91483a6Sfdy      csBundle(lmul).fpu.div := false.B
1264d91483a6Sfdy      csBundle(lmul).fpu.sqrt := false.B
1265d91483a6Sfdy      csBundle(lmul).fpu.fcvt := false.B
1266d91483a6Sfdy    }
1267d91483a6Sfdy
126817ec87f2SXuan Hu    is(UopSplitType.VEC_MVV) {
1269d91483a6Sfdy      // LMUL
1270d91483a6Sfdy      for (i <- 0 until MAX_VLMUL) {
1271d91483a6Sfdy        val srcType0 = if (i == 0) SrcType.DC else SrcType.vp
1272d91483a6Sfdy        csBundle(i * 2 + 0).srcType(0) := srcType0
1273d91483a6Sfdy        csBundle(i * 2 + 0).srcType(1) := SrcType.vp
1274d91483a6Sfdy        csBundle(i * 2 + 0).lsrc(0) := (VECTOR_TMP_REG_LMUL + i - 1).U
1275d91483a6Sfdy        csBundle(i * 2 + 0).lsrc(1) := src2
1276d91483a6Sfdy        csBundle(i * 2 + 0).lsrc(2) := dest + i.U
1277d91483a6Sfdy        csBundle(i * 2 + 0).ldest := dest + i.U
1278d91483a6Sfdy        csBundle(i * 2 + 0).uopIdx := (i * 2 + 0).U
1279d91483a6Sfdy
1280d91483a6Sfdy        csBundle(i * 2 + 1).srcType(0) := srcType0
1281d91483a6Sfdy        csBundle(i * 2 + 1).srcType(1) := SrcType.vp
1282d91483a6Sfdy        csBundle(i * 2 + 1).lsrc(0) := (VECTOR_TMP_REG_LMUL + i - 1).U
1283d91483a6Sfdy        csBundle(i * 2 + 1).lsrc(1) := src2
1284d91483a6Sfdy        // csBundle(i).lsrc(2) := dest + i.U  DontCare
1285d91483a6Sfdy        csBundle(i * 2 + 1).ldest := (VECTOR_TMP_REG_LMUL + i).U
1286d91483a6Sfdy        csBundle(i * 2 + 1).uopIdx := (i * 2 + 1).U
1287d91483a6Sfdy      }
1288d91483a6Sfdy    }
1289d91483a6Sfdy
129017ec87f2SXuan Hu    is(UopSplitType.VEC_M0X_VFIRST) {
1291d91483a6Sfdy      // LMUL
1292d91483a6Sfdy      csBundle(0).rfWen := false.B
1293d91483a6Sfdy      csBundle(0).fpWen := true.B
1294d91483a6Sfdy      csBundle(0).ldest := FP_TMP_REG_MV.U
1295d91483a6Sfdy      // FMV_X_D
1296d91483a6Sfdy      csBundle(1).srcType(0) := SrcType.fp
1297d91483a6Sfdy      csBundle(1).srcType(1) := SrcType.imm
1298d91483a6Sfdy      csBundle(1).lsrc(0) := FP_TMP_REG_MV.U
1299d91483a6Sfdy      csBundle(1).lsrc(1) := 0.U
1300d91483a6Sfdy      csBundle(1).ldest := dest
1301d91483a6Sfdy      csBundle(1).fuType := FuType.fmisc.U
1302d91483a6Sfdy      csBundle(1).rfWen := true.B
1303d91483a6Sfdy      csBundle(1).fpWen := false.B
1304d91483a6Sfdy      csBundle(1).vecWen := false.B
1305d91483a6Sfdy      csBundle(1).fpu.isAddSub := false.B
1306d91483a6Sfdy      csBundle(1).fpu.typeTagIn := FPU.D
1307d91483a6Sfdy      csBundle(1).fpu.typeTagOut := FPU.D
1308d91483a6Sfdy      csBundle(1).fpu.fromInt := false.B
1309d91483a6Sfdy      csBundle(1).fpu.wflags := false.B
1310d91483a6Sfdy      csBundle(1).fpu.fpWen := false.B
1311d91483a6Sfdy      csBundle(1).fpu.div := false.B
1312d91483a6Sfdy      csBundle(1).fpu.sqrt := false.B
1313d91483a6Sfdy      csBundle(1).fpu.fcvt := false.B
1314d91483a6Sfdy    }
1315189ec863SzhanglyGit    is(UopSplitType.VEC_VWW) {
1316189ec863SzhanglyGit      for (i <- 0 until MAX_VLMUL*2) {
1317189ec863SzhanglyGit        when(i.U < lmul){
1318189ec863SzhanglyGit          csBundle(i).srcType(2) := SrcType.DC
1319189ec863SzhanglyGit          csBundle(i).lsrc(0) := src2 + i.U
1320189ec863SzhanglyGit          csBundle(i).lsrc(1) := src2 + i.U
1321189ec863SzhanglyGit          // csBundle(i).lsrc(2) := dest + (2 * i).U
1322189ec863SzhanglyGit          csBundle(i).ldest := (VECTOR_TMP_REG_LMUL + i).U
1323189ec863SzhanglyGit          csBundle(i).uopIdx :=  i.U
1324189ec863SzhanglyGit        } otherwise {
1325189ec863SzhanglyGit          csBundle(i).srcType(2) := SrcType.DC
1326189ec863SzhanglyGit          csBundle(i).lsrc(0) := VECTOR_TMP_REG_LMUL.U + Cat((i.U-lmul),0.U(1.W)) + 1.U
1327189ec863SzhanglyGit          csBundle(i).lsrc(1) := VECTOR_TMP_REG_LMUL.U + Cat((i.U-lmul),0.U(1.W))
1328189ec863SzhanglyGit          // csBundle(i).lsrc(2) := dest + (2 * i).U
1329189ec863SzhanglyGit          csBundle(i).ldest := (VECTOR_TMP_REG_LMUL + i).U
1330189ec863SzhanglyGit          csBundle(i).uopIdx := i.U
1331189ec863SzhanglyGit        }
1332189ec863SzhanglyGit        csBundle(numOfUop-1.U).srcType(2) := SrcType.vp
1333189ec863SzhanglyGit        csBundle(numOfUop-1.U).lsrc(0) := src1
1334189ec863SzhanglyGit        csBundle(numOfUop-1.U).lsrc(2) := dest
1335189ec863SzhanglyGit        csBundle(numOfUop-1.U).ldest := dest
1336189ec863SzhanglyGit      }
1337189ec863SzhanglyGit    }
1338189ec863SzhanglyGit    is(UopSplitType.VEC_RGATHER) {
1339189ec863SzhanglyGit      def genCsBundle_VEC_RGATHER(len:Int): Unit ={
1340189ec863SzhanglyGit        for (i <- 0 until len)
1341189ec863SzhanglyGit          for (j <- 0 until len) {
1342189ec863SzhanglyGit            // csBundle(i * len + j).srcType(0) := SrcType.vp // SrcType.imm
1343189ec863SzhanglyGit            // csBundle(i * len + j).srcType(1) := SrcType.vp
1344189ec863SzhanglyGit            // csBundle(i * len + j).srcType(2) := SrcType.vp
1345189ec863SzhanglyGit            csBundle(i * len + j).lsrc(0) := src1 + i.U
1346189ec863SzhanglyGit            csBundle(i * len + j).lsrc(1) := src2 + j.U
1347189ec863SzhanglyGit            val vd_old = if(j==0) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j - 1).U
1348189ec863SzhanglyGit            csBundle(i * len + j).lsrc(2) := vd_old
1349189ec863SzhanglyGit            val vd = if(j==len-1) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j).U
1350189ec863SzhanglyGit            csBundle(i * len + j).ldest := vd
1351189ec863SzhanglyGit            csBundle(i * len + j).uopIdx := (i * len + j).U
1352189ec863SzhanglyGit          }
1353189ec863SzhanglyGit      }
1354aaa08c5aSxiaofeibao-xjtu      switch(vlmulReg) {
1355189ec863SzhanglyGit        is("b001".U ){
1356189ec863SzhanglyGit          genCsBundle_VEC_RGATHER(2)
1357189ec863SzhanglyGit        }
1358189ec863SzhanglyGit        is("b010".U ){
1359189ec863SzhanglyGit          genCsBundle_VEC_RGATHER(4)
1360189ec863SzhanglyGit        }
1361189ec863SzhanglyGit        is("b011".U ){
1362189ec863SzhanglyGit          genCsBundle_VEC_RGATHER(8)
1363189ec863SzhanglyGit        }
1364189ec863SzhanglyGit      }
1365189ec863SzhanglyGit    }
1366189ec863SzhanglyGit    is(UopSplitType.VEC_RGATHER_VX) {
1367189ec863SzhanglyGit      def genCsBundle_RGATHER_VX(len:Int): Unit ={
1368189ec863SzhanglyGit        for (i <- 0 until len)
1369189ec863SzhanglyGit          for (j <- 0 until len) {
1370fc85f18fSZiyue Zhang            csBundle(i * len + j + 1).srcType(0) := SrcType.vp
1371189ec863SzhanglyGit            // csBundle(i * len + j + 1).srcType(1) := SrcType.vp
1372189ec863SzhanglyGit            // csBundle(i * len + j + 1).srcType(2) := SrcType.vp
1373fc85f18fSZiyue Zhang            csBundle(i * len + j + 1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
1374189ec863SzhanglyGit            csBundle(i * len + j + 1).lsrc(1) := src2 + j.U
1375fc85f18fSZiyue Zhang            val vd_old = if(j==0) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j).U
1376189ec863SzhanglyGit            csBundle(i * len + j + 1).lsrc(2) := vd_old
1377fc85f18fSZiyue Zhang            val vd = if(j==len-1) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j + 1).U
1378189ec863SzhanglyGit            csBundle(i * len + j + 1).ldest := vd
1379189ec863SzhanglyGit            csBundle(i * len + j + 1).uopIdx := (i * len + j).U
1380189ec863SzhanglyGit          }
1381189ec863SzhanglyGit      }
1382d6059658SZiyue Zhang      // i to vector move
1383189ec863SzhanglyGit      csBundle(0).srcType(0) := SrcType.reg
1384189ec863SzhanglyGit      csBundle(0).srcType(1) := SrcType.imm
1385189ec863SzhanglyGit      csBundle(0).lsrc(1) := 0.U
1386fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
1387fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
1388d6059658SZiyue Zhang      csBundle(0).fuOpType := Cat(Mux(src1IsImm, IF2VectorType.permImm2vector(2, 0), IF2VectorType.i2vector(2, 0)), vsewReg)
1389fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
1390aaa08c5aSxiaofeibao-xjtu      switch(vlmulReg) {
1391189ec863SzhanglyGit        is("b000".U ){
1392189ec863SzhanglyGit          genCsBundle_RGATHER_VX(1)
1393189ec863SzhanglyGit        }
1394189ec863SzhanglyGit        is("b001".U ){
1395189ec863SzhanglyGit          genCsBundle_RGATHER_VX(2)
1396189ec863SzhanglyGit        }
1397189ec863SzhanglyGit        is("b010".U ){
1398189ec863SzhanglyGit          genCsBundle_RGATHER_VX(4)
1399189ec863SzhanglyGit        }
1400189ec863SzhanglyGit        is("b011".U ){
1401189ec863SzhanglyGit          genCsBundle_RGATHER_VX(8)
1402189ec863SzhanglyGit        }
1403189ec863SzhanglyGit      }
1404189ec863SzhanglyGit    }
1405189ec863SzhanglyGit    is(UopSplitType.VEC_RGATHEREI16) {
1406189ec863SzhanglyGit      def genCsBundle_VEC_RGATHEREI16_SEW8(len:Int): Unit ={
1407189ec863SzhanglyGit        for (i <- 0 until len)
1408189ec863SzhanglyGit          for (j <- 0 until len) {
1409189ec863SzhanglyGit            val vd_old0 = if(j==0) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j*2-1).U
1410189ec863SzhanglyGit            val vd0 = (VECTOR_TMP_REG_LMUL + j*2 ).U
1411189ec863SzhanglyGit            // csBundle(i * len + j).srcType(0) := SrcType.vp // SrcType.imm
1412189ec863SzhanglyGit            // csBundle(i * len + j).srcType(1) := SrcType.vp
1413189ec863SzhanglyGit            // csBundle(i * len + j).srcType(2) := SrcType.vp
1414189ec863SzhanglyGit            csBundle((i * len + j)*2+0).lsrc(0) := src1 + (i*2+0).U
1415189ec863SzhanglyGit            csBundle((i * len + j)*2+0).lsrc(1) := src2 + j.U
1416189ec863SzhanglyGit            csBundle((i * len + j)*2+0).lsrc(2) := vd_old0
1417189ec863SzhanglyGit            csBundle((i * len + j)*2+0).ldest := vd0
1418189ec863SzhanglyGit            csBundle((i * len + j)*2+0).uopIdx := ((i * len + j)*2+0).U
1419189ec863SzhanglyGit            val vd_old1 = (VECTOR_TMP_REG_LMUL + j*2).U
1420189ec863SzhanglyGit            val vd1 = if(j==len-1) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j*2+1 ).U
1421189ec863SzhanglyGit            csBundle((i * len + j)*2+1).lsrc(0) := src1 + (i*2+1).U
1422189ec863SzhanglyGit            csBundle((i * len + j)*2+1).lsrc(1) := src2 + j.U
1423189ec863SzhanglyGit            csBundle((i * len + j)*2+1).lsrc(2) := vd_old1
1424189ec863SzhanglyGit            csBundle((i * len + j)*2+1).ldest := vd1
1425189ec863SzhanglyGit            csBundle((i * len + j)*2+1).uopIdx := ((i * len + j)*2+1).U
1426189ec863SzhanglyGit          }
1427189ec863SzhanglyGit      }
1428189ec863SzhanglyGit      def genCsBundle_VEC_RGATHEREI16(len:Int): Unit ={
1429189ec863SzhanglyGit        for (i <- 0 until len)
1430189ec863SzhanglyGit          for (j <- 0 until len) {
1431189ec863SzhanglyGit            val vd_old = if(j==0) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j-1).U
1432189ec863SzhanglyGit            val vd = if(j==len-1) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j).U
1433189ec863SzhanglyGit            // csBundle(i * len + j).srcType(0) := SrcType.vp // SrcType.imm
1434189ec863SzhanglyGit            // csBundle(i * len + j).srcType(1) := SrcType.vp
1435189ec863SzhanglyGit            // csBundle(i * len + j).srcType(2) := SrcType.vp
1436189ec863SzhanglyGit            csBundle(i * len + j).lsrc(0) := src1 + i.U
1437189ec863SzhanglyGit            csBundle(i * len + j).lsrc(1) := src2 + j.U
1438189ec863SzhanglyGit            csBundle(i * len + j).lsrc(2) := vd_old
1439189ec863SzhanglyGit            csBundle(i * len + j).ldest := vd
1440189ec863SzhanglyGit            csBundle(i * len + j).uopIdx := (i * len + j).U
1441189ec863SzhanglyGit          }
1442189ec863SzhanglyGit      }
1443aaa08c5aSxiaofeibao-xjtu      switch(vlmulReg) {
1444189ec863SzhanglyGit        is("b000".U ){
1445aaa08c5aSxiaofeibao-xjtu          when(!vsewReg.orR){
1446189ec863SzhanglyGit            genCsBundle_VEC_RGATHEREI16_SEW8(1)
1447189ec863SzhanglyGit          } .otherwise{
1448189ec863SzhanglyGit            genCsBundle_VEC_RGATHEREI16(1)
1449189ec863SzhanglyGit          }
1450189ec863SzhanglyGit        }
1451189ec863SzhanglyGit        is("b001".U) {
1452aaa08c5aSxiaofeibao-xjtu          when(!vsewReg.orR) {
1453189ec863SzhanglyGit            genCsBundle_VEC_RGATHEREI16_SEW8(2)
1454189ec863SzhanglyGit          }.otherwise {
1455189ec863SzhanglyGit            genCsBundle_VEC_RGATHEREI16(2)
1456189ec863SzhanglyGit          }
1457189ec863SzhanglyGit        }
1458189ec863SzhanglyGit        is("b010".U) {
1459aaa08c5aSxiaofeibao-xjtu          when(!vsewReg.orR) {
1460189ec863SzhanglyGit            genCsBundle_VEC_RGATHEREI16_SEW8(4)
1461189ec863SzhanglyGit          }.otherwise {
1462189ec863SzhanglyGit            genCsBundle_VEC_RGATHEREI16(4)
1463189ec863SzhanglyGit          }
1464189ec863SzhanglyGit        }
1465189ec863SzhanglyGit        is("b011".U) {
1466189ec863SzhanglyGit          genCsBundle_VEC_RGATHEREI16(8)
1467189ec863SzhanglyGit        }
1468189ec863SzhanglyGit      }
1469189ec863SzhanglyGit    }
1470189ec863SzhanglyGit    is(UopSplitType.VEC_COMPRESS) {
1471189ec863SzhanglyGit      def genCsBundle_VEC_COMPRESS(len:Int): Unit ={
1472189ec863SzhanglyGit        for (i <- 0 until len){
1473189ec863SzhanglyGit          val jlen = if (i == len-1) i+1 else i+2
1474189ec863SzhanglyGit          for (j <- 0 until jlen) {
1475189ec863SzhanglyGit            val vd_old = if(i==j) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j + 1).U
1476189ec863SzhanglyGit            val vd = if(i==len-1) (dest + j.U) else{
1477189ec863SzhanglyGit              if (j == i+1) VECTOR_TMP_REG_LMUL.U else (VECTOR_TMP_REG_LMUL + j + 1).U
1478189ec863SzhanglyGit            }
1479189ec863SzhanglyGit            val src23Type = if (j == i+1) DontCare else SrcType.vp
1480189ec863SzhanglyGit            csBundle(i*(i+3)/2 + j).srcType(0) := SrcType.vp
1481189ec863SzhanglyGit            csBundle(i*(i+3)/2 + j).srcType(1) := src23Type
1482189ec863SzhanglyGit            csBundle(i*(i+3)/2 + j).srcType(2) := src23Type
1483189ec863SzhanglyGit            csBundle(i*(i+3)/2 + j).lsrc(0) := src1
1484189ec863SzhanglyGit            csBundle(i*(i+3)/2 + j).lsrc(1) := src2 + i.U
1485189ec863SzhanglyGit            csBundle(i*(i+3)/2 + j).lsrc(2) := vd_old
1486189ec863SzhanglyGit            // csBundle(i*(i+3)/2 + j).lsrc(3) := VECTOR_TMP_REG_LMUL.U
1487189ec863SzhanglyGit            csBundle(i*(i+3)/2 + j).ldest := vd
1488189ec863SzhanglyGit            csBundle(i*(i+3)/2 + j).uopIdx := (i*(i+3)/2 + j).U
1489189ec863SzhanglyGit          }
1490189ec863SzhanglyGit        }
1491189ec863SzhanglyGit      }
1492aaa08c5aSxiaofeibao-xjtu      switch(vlmulReg) {
1493189ec863SzhanglyGit        is("b001".U ){
1494189ec863SzhanglyGit          genCsBundle_VEC_COMPRESS(2)
1495189ec863SzhanglyGit        }
1496189ec863SzhanglyGit        is("b010".U ){
1497189ec863SzhanglyGit          genCsBundle_VEC_COMPRESS(4)
1498189ec863SzhanglyGit        }
1499189ec863SzhanglyGit        is("b011".U ){
1500189ec863SzhanglyGit          genCsBundle_VEC_COMPRESS(8)
1501189ec863SzhanglyGit        }
1502189ec863SzhanglyGit      }
1503189ec863SzhanglyGit    }
15040a34fc22SZiyue Zhang    is(UopSplitType.VEC_MVNR) {
15050a34fc22SZiyue Zhang      for (i <- 0 until MAX_VLMUL) {
15060a34fc22SZiyue Zhang        csBundle(i).lsrc(0) := src1 + i.U
15070a34fc22SZiyue Zhang        csBundle(i).lsrc(1) := src2 + i.U
15080a34fc22SZiyue Zhang        csBundle(i).lsrc(2) := dest + i.U
15090a34fc22SZiyue Zhang        csBundle(i).ldest := dest + i.U
15100a34fc22SZiyue Zhang        csBundle(i).uopIdx := i.U
15110a34fc22SZiyue Zhang      }
15120a34fc22SZiyue Zhang    }
1513*c4501a6fSZiyue-Zhang    is(UopSplitType.VEC_US_LDST) {
15144ee69032SzhanglyGit      /*
15154ee69032SzhanglyGit      FMV.D.X
15164ee69032SzhanglyGit       */
15174ee69032SzhanglyGit      csBundle(0).srcType(0) := SrcType.reg
15184ee69032SzhanglyGit      csBundle(0).srcType(1) := SrcType.imm
15194ee69032SzhanglyGit      csBundle(0).lsrc(1) := 0.U
15204ee69032SzhanglyGit      csBundle(0).ldest := FP_TMP_REG_MV.U
15214ee69032SzhanglyGit      csBundle(0).fuType := FuType.i2f.U
15224ee69032SzhanglyGit      csBundle(0).rfWen := false.B
15234ee69032SzhanglyGit      csBundle(0).fpWen := true.B
15244ee69032SzhanglyGit      csBundle(0).vecWen := false.B
15254ee69032SzhanglyGit      csBundle(0).fpu.isAddSub := false.B
15264ee69032SzhanglyGit      csBundle(0).fpu.typeTagIn := FPU.D
15274ee69032SzhanglyGit      csBundle(0).fpu.typeTagOut := FPU.D
15284ee69032SzhanglyGit      csBundle(0).fpu.fromInt := true.B
15294ee69032SzhanglyGit      csBundle(0).fpu.wflags := false.B
15304ee69032SzhanglyGit      csBundle(0).fpu.fpWen := true.B
15314ee69032SzhanglyGit      csBundle(0).fpu.div := false.B
15324ee69032SzhanglyGit      csBundle(0).fpu.sqrt := false.B
15334ee69032SzhanglyGit      csBundle(0).fpu.fcvt := false.B
15344ee69032SzhanglyGit      //LMUL
15354ee69032SzhanglyGit      for (i <- 0 until MAX_VLMUL) {
15364ee69032SzhanglyGit        csBundle(i + 1).srcType(0) := SrcType.fp
15374ee69032SzhanglyGit        csBundle(i + 1).lsrc(0) := FP_TMP_REG_MV.U
15384ee69032SzhanglyGit        csBundle(i + 1).ldest := dest + i.U
15394ee69032SzhanglyGit        csBundle(i + 1).uopIdx := i.U
15404ee69032SzhanglyGit      }
15414ee69032SzhanglyGit    }
1542*c4501a6fSZiyue-Zhang    is(UopSplitType.VEC_S_LDST) {
1543*c4501a6fSZiyue-Zhang      /*
1544*c4501a6fSZiyue-Zhang      FMV.D.X
1545*c4501a6fSZiyue-Zhang       */
1546*c4501a6fSZiyue-Zhang      csBundle(0).srcType(0) := SrcType.reg
1547*c4501a6fSZiyue-Zhang      csBundle(0).srcType(1) := SrcType.imm
1548*c4501a6fSZiyue-Zhang      csBundle(0).lsrc(1) := 0.U
1549*c4501a6fSZiyue-Zhang      csBundle(0).ldest := FP_TMP_REG_MV.U
1550*c4501a6fSZiyue-Zhang      csBundle(0).fuType := FuType.i2f.U
1551*c4501a6fSZiyue-Zhang      csBundle(0).rfWen := false.B
1552*c4501a6fSZiyue-Zhang      csBundle(0).fpWen := true.B
1553*c4501a6fSZiyue-Zhang      csBundle(0).vecWen := false.B
1554*c4501a6fSZiyue-Zhang      csBundle(0).fpu.isAddSub := false.B
1555*c4501a6fSZiyue-Zhang      csBundle(0).fpu.typeTagIn := FPU.D
1556*c4501a6fSZiyue-Zhang      csBundle(0).fpu.typeTagOut := FPU.D
1557*c4501a6fSZiyue-Zhang      csBundle(0).fpu.fromInt := true.B
1558*c4501a6fSZiyue-Zhang      csBundle(0).fpu.wflags := false.B
1559*c4501a6fSZiyue-Zhang      csBundle(0).fpu.fpWen := true.B
1560*c4501a6fSZiyue-Zhang      csBundle(0).fpu.div := false.B
1561*c4501a6fSZiyue-Zhang      csBundle(0).fpu.sqrt := false.B
1562*c4501a6fSZiyue-Zhang      csBundle(0).fpu.fcvt := false.B
1563*c4501a6fSZiyue-Zhang
1564*c4501a6fSZiyue-Zhang      csBundle(1).srcType(0) := SrcType.imm
1565*c4501a6fSZiyue-Zhang      csBundle(1).srcType(1) := SrcType.reg
1566*c4501a6fSZiyue-Zhang      csBundle(1).lsrc(0) := 0.U
1567*c4501a6fSZiyue-Zhang      csBundle(1).ldest := VECTOR_TMP_REG_LMUL.U
1568*c4501a6fSZiyue-Zhang      csBundle(1).fuType := FuType.i2f.U
1569*c4501a6fSZiyue-Zhang      csBundle(1).rfWen := false.B
1570*c4501a6fSZiyue-Zhang      csBundle(1).fpWen := true.B
1571*c4501a6fSZiyue-Zhang      csBundle(1).vecWen := false.B
1572*c4501a6fSZiyue-Zhang      csBundle(1).fpu.isAddSub := false.B
1573*c4501a6fSZiyue-Zhang      csBundle(1).fpu.typeTagIn := FPU.D
1574*c4501a6fSZiyue-Zhang      csBundle(1).fpu.typeTagOut := FPU.D
1575*c4501a6fSZiyue-Zhang      csBundle(1).fpu.fromInt := true.B
1576*c4501a6fSZiyue-Zhang      csBundle(1).fpu.wflags := false.B
1577*c4501a6fSZiyue-Zhang      csBundle(1).fpu.fpWen := true.B
1578*c4501a6fSZiyue-Zhang      csBundle(1).fpu.div := false.B
1579*c4501a6fSZiyue-Zhang      csBundle(1).fpu.sqrt := false.B
1580*c4501a6fSZiyue-Zhang      csBundle(1).fpu.fcvt := false.B
1581*c4501a6fSZiyue-Zhang
1582*c4501a6fSZiyue-Zhang      //LMUL
1583*c4501a6fSZiyue-Zhang      for (i <- 0 until MAX_VLMUL) {
1584*c4501a6fSZiyue-Zhang        csBundle(i + 2).srcType(0) := SrcType.fp
1585*c4501a6fSZiyue-Zhang        csBundle(i + 2).lsrc(0) := FP_TMP_REG_MV.U
1586*c4501a6fSZiyue-Zhang        csBundle(i + 2).lsrc(1) := VECTOR_TMP_REG_LMUL.U
1587*c4501a6fSZiyue-Zhang        csBundle(i + 2).ldest := dest + i.U
1588*c4501a6fSZiyue-Zhang        csBundle(i + 2).uopIdx := i.U
1589*c4501a6fSZiyue-Zhang      }
1590*c4501a6fSZiyue-Zhang    }
1591*c4501a6fSZiyue-Zhang    is(UopSplitType.VEC_I_LDST) {
1592*c4501a6fSZiyue-Zhang    /*
1593*c4501a6fSZiyue-Zhang      FMV.D.X
1594*c4501a6fSZiyue-Zhang       */
1595*c4501a6fSZiyue-Zhang      val vlmul = vlmulReg
1596*c4501a6fSZiyue-Zhang      val vsew = vsewReg
1597*c4501a6fSZiyue-Zhang      val veew = Cat(0.U(1.W), width)
1598*c4501a6fSZiyue-Zhang      val vemul: UInt = veew.asUInt + 1.U + vlmul.asUInt + ~vsew.asUInt
1599*c4501a6fSZiyue-Zhang      val simple_lmul = MuxLookup(vlmul, 0.U(2.W), Array(
1600*c4501a6fSZiyue-Zhang        "b001".U -> 1.U,
1601*c4501a6fSZiyue-Zhang        "b010".U -> 2.U,
1602*c4501a6fSZiyue-Zhang        "b011".U -> 3.U
1603*c4501a6fSZiyue-Zhang      ))
1604*c4501a6fSZiyue-Zhang      val simple_emul = MuxLookup(vemul, 0.U(2.W), Array(
1605*c4501a6fSZiyue-Zhang        "b001".U -> 1.U,
1606*c4501a6fSZiyue-Zhang        "b010".U -> 2.U,
1607*c4501a6fSZiyue-Zhang        "b011".U -> 3.U
1608*c4501a6fSZiyue-Zhang      ))
1609*c4501a6fSZiyue-Zhang      csBundle(0).srcType(0) := SrcType.reg
1610*c4501a6fSZiyue-Zhang      csBundle(0).srcType(1) := SrcType.imm
1611*c4501a6fSZiyue-Zhang      csBundle(0).lsrc(1) := 0.U
1612*c4501a6fSZiyue-Zhang      csBundle(0).ldest := FP_TMP_REG_MV.U
1613*c4501a6fSZiyue-Zhang      csBundle(0).fuType := FuType.i2f.U
1614*c4501a6fSZiyue-Zhang      csBundle(0).rfWen := false.B
1615*c4501a6fSZiyue-Zhang      csBundle(0).fpWen := true.B
1616*c4501a6fSZiyue-Zhang      csBundle(0).vecWen := false.B
1617*c4501a6fSZiyue-Zhang      csBundle(0).fpu.isAddSub := false.B
1618*c4501a6fSZiyue-Zhang      csBundle(0).fpu.typeTagIn := FPU.D
1619*c4501a6fSZiyue-Zhang      csBundle(0).fpu.typeTagOut := FPU.D
1620*c4501a6fSZiyue-Zhang      csBundle(0).fpu.fromInt := true.B
1621*c4501a6fSZiyue-Zhang      csBundle(0).fpu.wflags := false.B
1622*c4501a6fSZiyue-Zhang      csBundle(0).fpu.fpWen := true.B
1623*c4501a6fSZiyue-Zhang      csBundle(0).fpu.div := false.B
1624*c4501a6fSZiyue-Zhang      csBundle(0).fpu.sqrt := false.B
1625*c4501a6fSZiyue-Zhang      csBundle(0).fpu.fcvt := false.B
1626*c4501a6fSZiyue-Zhang
1627*c4501a6fSZiyue-Zhang      //LMUL
1628*c4501a6fSZiyue-Zhang      for (i <- 0 until MAX_INDEXED_LS_UOPNUM) {
1629*c4501a6fSZiyue-Zhang        indexedLSRegOffset(i).src := Cat(simple_emul, simple_lmul, nf)
1630*c4501a6fSZiyue-Zhang        val offsetVs2 = indexedLSRegOffset(i).outOffsetVs2
1631*c4501a6fSZiyue-Zhang        val offsetVd = indexedLSRegOffset(i).outOffsetVd
1632*c4501a6fSZiyue-Zhang        csBundle(i + 1).srcType(0) := SrcType.fp
1633*c4501a6fSZiyue-Zhang        csBundle(i + 1).lsrc(0) := FP_TMP_REG_MV.U
1634*c4501a6fSZiyue-Zhang        csBundle(i + 1).lsrc(1) := Mux1H(UIntToOH(offsetVs2, MAX_VLMUL), (0 until MAX_VLMUL).map(j => src2 + j.U))
1635*c4501a6fSZiyue-Zhang        csBundle(i + 1).ldest := Mux1H(UIntToOH(offsetVd, MAX_VLMUL), (0 until MAX_VLMUL).map(j => dest + j.U))
1636*c4501a6fSZiyue-Zhang        csBundle(i + 1).uopIdx := i.U
1637*c4501a6fSZiyue-Zhang      }
1638*c4501a6fSZiyue-Zhang    }
1639d91483a6Sfdy  }
1640d91483a6Sfdy
1641d91483a6Sfdy  //uops dispatch
1642189ec863SzhanglyGit  val s_normal :: s_ext :: Nil = Enum(2)
1643189ec863SzhanglyGit  val state = RegInit(s_normal)
1644189ec863SzhanglyGit  val state_next = WireDefault(state)
1645d91483a6Sfdy  val uopRes = RegInit(0.U)
1646d91483a6Sfdy
1647d91483a6Sfdy  //readyFromRename Counter
1648d91483a6Sfdy  val readyCounter = PriorityMuxDefault(io.readyFromRename.map(x => !x).zip((0 to (RenameWidth - 1)).map(_.U)), RenameWidth.U)
1649d91483a6Sfdy
1650189ec863SzhanglyGit  switch(state) {
1651189ec863SzhanglyGit    is(s_normal) {
1652189ec863SzhanglyGit      state_next := Mux(io.validFromIBuf(0) && (numOfUop > readyCounter) && (readyCounter =/= 0.U), s_ext, s_normal)
1653d91483a6Sfdy    }
1654189ec863SzhanglyGit    is(s_ext) {
1655189ec863SzhanglyGit      state_next := Mux(io.validFromIBuf(0) && (uopRes > readyCounter), s_ext, s_normal)
1656d91483a6Sfdy    }
1657d91483a6Sfdy  }
1658d91483a6Sfdy
1659189ec863SzhanglyGit  state := state_next
1660189ec863SzhanglyGit
1661189ec863SzhanglyGit  val uopRes0 = Mux(state === s_normal, numOfUop, uopRes)
1662189ec863SzhanglyGit  val uopResJudge = Mux(state === s_normal,
1663d91483a6Sfdy    io.validFromIBuf(0) && (readyCounter =/= 0.U) && (uopRes0 > readyCounter),
1664d91483a6Sfdy    io.validFromIBuf(0) && (uopRes0 > readyCounter))
1665d91483a6Sfdy  uopRes := Mux(uopResJudge, uopRes0 - readyCounter, 0.U)
1666d91483a6Sfdy
1667d91483a6Sfdy  for(i <- 0 until RenameWidth) {
1668d91483a6Sfdy    decodedInsts(i) := MuxCase(csBundle(i), Seq(
1669189ec863SzhanglyGit      (state === s_normal) -> csBundle(i),
1670189ec863SzhanglyGit      (state === s_ext) -> Mux((i.U + numOfUop -uopRes) < maxUopSize.U, csBundle(i.U + numOfUop - uopRes), csBundle(maxUopSize - 1))
167183ba63b3SXuan Hu    ).toSeq)
1672d91483a6Sfdy  }
1673d91483a6Sfdy
1674aaa08c5aSxiaofeibao-xjtu  val validSimple = Wire(Vec(DecodeWidth, Bool()))
1675aaa08c5aSxiaofeibao-xjtu  validSimple.zip(io.validFromIBuf.zip(io.isComplex)).map{ case (dst, (src1, src2)) => dst := src1 && !src2 }
1676aaa08c5aSxiaofeibao-xjtu  val notInf = Wire(Vec(DecodeWidth, Bool()))
1677aaa08c5aSxiaofeibao-xjtu  notInf.drop(1).zip(io.validFromIBuf.drop(1).zip(validSimple.drop(1))).map{ case (dst, (src1, src2)) => dst := !src1 || src2 }
1678aaa08c5aSxiaofeibao-xjtu  notInf(0) := !io.validFromIBuf(0) || validSimple(0) || (io.isComplex(0) && io.in0pc === io.simple.decodedInst.pc)
1679d91483a6Sfdy  val notInfVec = Wire(Vec(DecodeWidth, Bool()))
1680aaa08c5aSxiaofeibao-xjtu  notInfVec.zipWithIndex.map{ case (dst, i) => dst := Cat(notInf.take(i + 1)).andR}
1681d91483a6Sfdy
1682d91483a6Sfdy  complexNum := Mux(io.validFromIBuf(0) && readyCounter.orR ,
1683d91483a6Sfdy    Mux(uopRes0 > readyCounter, readyCounter, uopRes0),
1684aaa08c5aSxiaofeibao-xjtu    0.U)
1685d91483a6Sfdy  validToRename.zipWithIndex.foreach{
1686d91483a6Sfdy    case(dst, i) =>
1687aaa08c5aSxiaofeibao-xjtu      val validFix = Mux(complexNum.orR, validSimple((i+1).U - complexNum), validSimple(i))
1688d91483a6Sfdy      dst := MuxCase(false.B, Seq(
1689aaa08c5aSxiaofeibao-xjtu        (io.validFromIBuf(0) && readyCounter.orR && uopRes0 > readyCounter) -> Mux(readyCounter > i.U, true.B, false.B),
1690aaa08c5aSxiaofeibao-xjtu        (io.validFromIBuf(0) && readyCounter.orR && !(uopRes0 > readyCounter)) -> Mux(complexNum > i.U, true.B, validFix && notInfVec(i.U - complexNum) && io.readyFromRename(i)),
1691aaa08c5aSxiaofeibao-xjtu      ).toSeq)
1692d91483a6Sfdy  }
1693d91483a6Sfdy
1694d91483a6Sfdy  readyToIBuf.zipWithIndex.foreach {
1695d91483a6Sfdy    case (dst, i) =>
1696aaa08c5aSxiaofeibao-xjtu      val readyToIBuf0 = Mux(io.isComplex(0), io.in0pc === io.simple.decodedInst.pc, true.B)
1697d91483a6Sfdy      dst := MuxCase(true.B, Seq(
1698aaa08c5aSxiaofeibao-xjtu        (io.validFromIBuf(0) && uopRes0 > readyCounter || !readyCounter.orR) -> false.B,
1699aaa08c5aSxiaofeibao-xjtu        (io.validFromIBuf(0) && !(uopRes0 > readyCounter) && readyCounter.orR) -> (if (i==0) readyToIBuf0 else Mux(RenameWidth.U - complexNum >= i.U, notInfVec(i) && validSimple(i) && io.readyFromRename(i), false.B))
1700aaa08c5aSxiaofeibao-xjtu      ).toSeq)
1701d91483a6Sfdy  }
1702d91483a6Sfdy
1703d91483a6Sfdy  io.deq.decodedInsts := decodedInsts
1704189ec863SzhanglyGit  io.deq.isVset := isVsetSimple
1705d91483a6Sfdy  io.deq.complexNum := complexNum
1706d91483a6Sfdy  io.deq.validToRename := validToRename
1707d91483a6Sfdy  io.deq.readyToIBuf := readyToIBuf
1708d91483a6Sfdy
1709d91483a6Sfdy}
1710