xref: /XiangShan/src/main/scala/xiangshan/backend/decode/DecodeUnitComp.scala (revision 4ee6903273ef12509e78a2c7c51764b9a8f8d38b)
1d91483a6Sfdy/***************************************************************************************
2d91483a6Sfdy  * Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3d91483a6Sfdy  * Copyright (c) 2020-2021 Peng Cheng Laboratory
4d91483a6Sfdy  *
5d91483a6Sfdy  * XiangShan is licensed under Mulan PSL v2.
6d91483a6Sfdy  * You can use this software according to the terms and conditions of the Mulan PSL v2.
7d91483a6Sfdy  * You may obtain a copy of Mulan PSL v2 at:
8d91483a6Sfdy  *          http://license.coscl.org.cn/MulanPSL2
9d91483a6Sfdy  *
10d91483a6Sfdy  * THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11d91483a6Sfdy  * EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12d91483a6Sfdy  * MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13d91483a6Sfdy  *
14d91483a6Sfdy  * See the Mulan PSL v2 for more details.
15d91483a6Sfdy  ***************************************************************************************/
16d91483a6Sfdy
17d91483a6Sfdypackage xiangshan.backend.decode
18d91483a6Sfdy
19d91483a6Sfdyimport chipsalliance.rocketchip.config.Parameters
20d91483a6Sfdyimport chisel3._
21d91483a6Sfdyimport chisel3.util._
22d91483a6Sfdyimport freechips.rocketchip.rocket.Instructions
23d91483a6Sfdyimport freechips.rocketchip.util.uintToBitPat
24d91483a6Sfdyimport utils._
25d91483a6Sfdyimport utility._
26d91483a6Sfdyimport xiangshan.ExceptionNO.illegalInstr
27d91483a6Sfdyimport xiangshan._
28d91483a6Sfdyimport xiangshan.backend.fu.fpu.FPU
29d91483a6Sfdyimport xiangshan.backend.fu.FuType
30d91483a6Sfdyimport freechips.rocketchip.rocket.Instructions._
31d91483a6Sfdyimport xiangshan.backend.Bundles.{DecodedInst, StaticInst}
32b52d4755SXuan Huimport xiangshan.backend.fu.vector.Bundles.VType
33d91483a6Sfdyimport yunsuan.VpermType
34d91483a6Sfdy
35d91483a6Sfdyimport scala.collection.Seq
36d91483a6Sfdy
37d91483a6Sfdytrait VectorConstants {
38d91483a6Sfdy  val MAX_VLMUL = 8
39d91483a6Sfdy  val FP_TMP_REG_MV = 32
40d91483a6Sfdy  val VECTOR_TMP_REG_LMUL = 32 // 32~38  ->  7
41d91483a6Sfdy}
42d91483a6Sfdy
43d91483a6Sfdyclass DecodeUnitCompIO(implicit p: Parameters) extends XSBundle {
44d91483a6Sfdy  val enq = new Bundle { val staticInst = Input(new StaticInst) }
45d91483a6Sfdy  val vtype = Input(new VType)
46d91483a6Sfdy  val isComplex = Input(Vec(DecodeWidth - 1, Bool()))
47d91483a6Sfdy  val validFromIBuf = Input(Vec(DecodeWidth, Bool()))
48d91483a6Sfdy  val readyFromRename = Input(Vec(RenameWidth, Bool()))
49d91483a6Sfdy  val deq = new Bundle {
50d91483a6Sfdy    val decodedInsts = Output(Vec(RenameWidth, new DecodedInst))
51d91483a6Sfdy    val isVset = Output(Bool())
52d91483a6Sfdy    val readyToIBuf = Output(Vec(DecodeWidth, Bool()))
53d91483a6Sfdy    val validToRename = Output(Vec(RenameWidth, Bool()))
54d91483a6Sfdy    val complexNum = Output(UInt(3.W))
55d91483a6Sfdy  }
56d91483a6Sfdy  val csrCtrl = Input(new CustomCSRCtrlIO)
57d91483a6Sfdy}
5817ec87f2SXuan Hu
59d91483a6Sfdy/**
60d91483a6Sfdy  * @author zly
61d91483a6Sfdy  */
62d91483a6Sfdyclass DecodeUnitComp()(implicit p : Parameters) extends XSModule with DecodeUnitConstants with VectorConstants {
63d91483a6Sfdy  val io = IO(new DecodeUnitCompIO)
64d91483a6Sfdy
65d91483a6Sfdy  val maxUopSize = MaxUopSize
66d91483a6Sfdy  //input bits
67d91483a6Sfdy  val staticInst = Wire(new StaticInst)
68d91483a6Sfdy
69d91483a6Sfdy  staticInst := io.enq.staticInst
70d91483a6Sfdy
71d91483a6Sfdy  val src1 = Cat(0.U(1.W), staticInst.instr(19, 15))
72d91483a6Sfdy  val src2 = Cat(0.U(1.W), staticInst.instr(24, 20))
73d91483a6Sfdy  val dest = Cat(0.U(1.W), staticInst.instr(11, 7))
74*4ee69032SzhanglyGit  val width = staticInst.instr(14, 12)    //Vector LS eew
75*4ee69032SzhanglyGit  val eew = Cat(0.U(1.W), width(1, 0))
76d91483a6Sfdy
77d91483a6Sfdy  //output bits
78d91483a6Sfdy  val decodedInsts = Wire(Vec(RenameWidth, new DecodedInst))
79d91483a6Sfdy  val validToRename = Wire(Vec(RenameWidth, Bool()))
80d91483a6Sfdy  val readyToIBuf = Wire(Vec(DecodeWidth, Bool()))
81d91483a6Sfdy  val complexNum = Wire(UInt(3.W))
82d91483a6Sfdy
83d91483a6Sfdy  //output of DecodeUnit
84d91483a6Sfdy  val decodedInsts_u = Wire(new DecodedInst)
85d91483a6Sfdy  val isVset_u = Wire(Bool())
86d91483a6Sfdy
87d91483a6Sfdy  //pre decode
88d91483a6Sfdy  val simple = Module(new DecodeUnit)
89d91483a6Sfdy  simple.io.enq.ctrlFlow := staticInst
90d91483a6Sfdy  simple.io.enq.vtype := io.vtype
91d91483a6Sfdy  simple.io.csrCtrl := io.csrCtrl
92d91483a6Sfdy  decodedInsts_u := simple.io.deq.decodedInst
93d91483a6Sfdy  isVset_u := simple.io.deq.decodedInst.isVset
94d91483a6Sfdy  when(isVset_u) {
95d91483a6Sfdy    when(dest === 0.U && src1 === 0.U) {
96a8db15d8Sfdy      decodedInsts_u.fuOpType := VSETOpType.keepVl(simple.io.deq.decodedInst.fuOpType)
97d91483a6Sfdy    }.elsewhen(src1 === 0.U) {
98a8db15d8Sfdy      decodedInsts_u.fuOpType := VSETOpType.setVlmax(simple.io.deq.decodedInst.fuOpType)
99a8db15d8Sfdy    }
100a8db15d8Sfdy    when(io.vtype.illegal){
101a8db15d8Sfdy      decodedInsts_u.flushPipe := true.B
102d91483a6Sfdy    }
103d91483a6Sfdy  }
104d91483a6Sfdy  //Type of uop Div
10517ec87f2SXuan Hu  val typeOfDiv = decodedInsts_u.uopSplitType
106d91483a6Sfdy
107*4ee69032SzhanglyGit  val sew = Cat(0.U(1.W), simple.io.enq.vtype.vsew)
108*4ee69032SzhanglyGit  val vlmul = simple.io.enq.vtype.vlmul
109*4ee69032SzhanglyGit
110d91483a6Sfdy  //LMUL
111d91483a6Sfdy  val lmul = MuxLookup(simple.io.enq.vtype.vlmul, 1.U(4.W), Array(
112d91483a6Sfdy    "b001".U -> 2.U,
113d91483a6Sfdy    "b010".U -> 4.U,
114d91483a6Sfdy    "b011".U -> 8.U
115d91483a6Sfdy  ))
116d91483a6Sfdy  val numOfUopVslide = MuxLookup(simple.io.enq.vtype.vlmul, 1.U(log2Up(maxUopSize+1).W), Array(
117d91483a6Sfdy    "b001".U -> 3.U,
118d91483a6Sfdy    "b010".U -> 10.U,
119d91483a6Sfdy    "b011".U -> 36.U
120d91483a6Sfdy  ))
121*4ee69032SzhanglyGit  val vemul : UInt = eew.asUInt + 1.U + vlmul.asUInt + ~sew.asUInt
122*4ee69032SzhanglyGit  val emul = MuxLookup(vemul, 1.U(4.W), Array(
123*4ee69032SzhanglyGit    "b001".U -> 2.U,
124*4ee69032SzhanglyGit    "b010".U -> 4.U,
125*4ee69032SzhanglyGit    "b011".U -> 8.U
126*4ee69032SzhanglyGit  ))                                                                                //TODO : eew and emul illegal exception need to be handled
127*4ee69032SzhanglyGit
128d91483a6Sfdy  //number of uop
129d91483a6Sfdy  val numOfUop = MuxLookup(typeOfDiv, 1.U(log2Up(maxUopSize+1).W), Array(
13017ec87f2SXuan Hu    UopSplitType.VEC_0XV         -> 2.U,
13117ec87f2SXuan Hu    UopSplitType.DIR -> Mux(dest =/= 0.U, 2.U,
132d91483a6Sfdy                        Mux(src1 =/= 0.U, 1.U,
133d91483a6Sfdy                          Mux(VSETOpType.isVsetvl(decodedInsts_u.fuOpType), 2.U, 1.U))),
13417ec87f2SXuan Hu    UopSplitType.VEC_VVV         -> lmul,
13517ec87f2SXuan Hu    UopSplitType.VEC_EXT2        -> lmul,
13617ec87f2SXuan Hu    UopSplitType.VEC_EXT4        -> lmul,
13717ec87f2SXuan Hu    UopSplitType.VEC_EXT8        -> lmul,
13817ec87f2SXuan Hu    UopSplitType.VEC_VVM         -> lmul,
13917ec87f2SXuan Hu    UopSplitType.VEC_VXM         -> (lmul +& 1.U),
14017ec87f2SXuan Hu    UopSplitType.VEC_VXV         -> (lmul +& 1.U),
14117ec87f2SXuan Hu    UopSplitType.VEC_VVW         -> Cat(lmul, 0.U(1.W)),     // lmul <= 4
14217ec87f2SXuan Hu    UopSplitType.VEC_WVW         -> Cat(lmul, 0.U(1.W)),     // lmul <= 4
14317ec87f2SXuan Hu    UopSplitType.VEC_VXW         -> Cat(lmul, 1.U(1.W)),     // lmul <= 4
14417ec87f2SXuan Hu    UopSplitType.VEC_WXW         -> Cat(lmul, 1.U(1.W)),     // lmul <= 4
14517ec87f2SXuan Hu    UopSplitType.VEC_WVV         -> Cat(lmul, 0.U(1.W)),     // lmul <= 4
14617ec87f2SXuan Hu    UopSplitType.VEC_WXV         -> Cat(lmul, 1.U(1.W)),     // lmul <= 4
14717ec87f2SXuan Hu    UopSplitType.VEC_SLIDE1UP    -> (lmul +& 1.U),
14817ec87f2SXuan Hu    UopSplitType.VEC_FSLIDE1UP   -> lmul,
14917ec87f2SXuan Hu    UopSplitType.VEC_SLIDE1DOWN  -> Cat(lmul, 0.U(1.W)),
15017ec87f2SXuan Hu    UopSplitType.VEC_FSLIDE1DOWN -> (Cat(lmul, 0.U(1.W)) -1.U),
15117ec87f2SXuan Hu    UopSplitType.VEC_VRED        -> lmul,
15217ec87f2SXuan Hu    UopSplitType.VEC_SLIDEUP     -> (numOfUopVslide + 1.U),
15317ec87f2SXuan Hu    UopSplitType.VEC_ISLIDEUP    -> numOfUopVslide,
15417ec87f2SXuan Hu    UopSplitType.VEC_SLIDEDOWN   -> (numOfUopVslide + 1.U),
15517ec87f2SXuan Hu    UopSplitType.VEC_ISLIDEDOWN  -> numOfUopVslide,
15617ec87f2SXuan Hu    UopSplitType.VEC_M0X         -> (lmul +& 1.U),
15717ec87f2SXuan Hu    UopSplitType.VEC_MVV         -> (Cat(lmul, 0.U(1.W)) -1.U),
15817ec87f2SXuan Hu    UopSplitType.VEC_M0X_VFIRST  -> 2.U,
159*4ee69032SzhanglyGit    UopSplitType.VEC_US_LD       -> (emul +& 1.U),
160d91483a6Sfdy  ))
161d91483a6Sfdy
162d91483a6Sfdy  //uop div up to maxUopSize
163d91483a6Sfdy  val csBundle = Wire(Vec(maxUopSize, new DecodedInst))
164d91483a6Sfdy  csBundle.map { case dst =>
165d91483a6Sfdy    dst := decodedInsts_u
166d91483a6Sfdy    dst.firstUop := false.B
167d91483a6Sfdy    dst.lastUop := false.B
168d91483a6Sfdy  }
169d91483a6Sfdy
170f1e8fcb2SXuan Hu  csBundle(0).numUops := numOfUop
171d91483a6Sfdy  csBundle(0).firstUop := true.B
172d91483a6Sfdy  csBundle(numOfUop - 1.U).lastUop := true.B
173d91483a6Sfdy
174d91483a6Sfdy  switch(typeOfDiv) {
17517ec87f2SXuan Hu    is(UopSplitType.DIR) {
176d91483a6Sfdy      when(isVset_u) {
177d91483a6Sfdy        when(dest =/= 0.U) {
178d91483a6Sfdy          csBundle(0).fuType := FuType.vsetiwi.U
179a8db15d8Sfdy          csBundle(0).fuOpType := VSETOpType.switchDest(decodedInsts_u.fuOpType)
180d91483a6Sfdy          csBundle(0).flushPipe := false.B
181d91483a6Sfdy          csBundle(0).rfWen := true.B
182d91483a6Sfdy          csBundle(0).vecWen := false.B
183cb10a55bSXuan Hu          csBundle(1).ldest := VCONFIG_IDX.U
184fe60541bSXuan Hu          csBundle(1).rfWen := false.B
185fe60541bSXuan Hu          csBundle(1).vecWen := true.B
186d91483a6Sfdy        }.elsewhen(src1 =/= 0.U) {
187cb10a55bSXuan Hu          csBundle(0).ldest := VCONFIG_IDX.U
188d91483a6Sfdy        }.elsewhen(VSETOpType.isVsetvli(decodedInsts_u.fuOpType)) {
189d91483a6Sfdy          csBundle(0).fuType := FuType.vsetfwf.U
190d91483a6Sfdy          csBundle(0).srcType(0) := SrcType.vp
191cb10a55bSXuan Hu          csBundle(0).lsrc(0) := VCONFIG_IDX.U
192d91483a6Sfdy        }.elsewhen(VSETOpType.isVsetvl(decodedInsts_u.fuOpType)) {
193d91483a6Sfdy          csBundle(0).srcType(0) := SrcType.reg
194d91483a6Sfdy          csBundle(0).srcType(1) := SrcType.imm
195d91483a6Sfdy          csBundle(0).lsrc(1) := 0.U
196d91483a6Sfdy          csBundle(0).ldest := FP_TMP_REG_MV.U
197d91483a6Sfdy          csBundle(0).fuType := FuType.i2f.U
198d91483a6Sfdy          csBundle(0).rfWen := false.B
199d91483a6Sfdy          csBundle(0).fpWen := true.B
200d91483a6Sfdy          csBundle(0).vecWen := false.B
201d91483a6Sfdy          csBundle(0).fpu.isAddSub := false.B
202d91483a6Sfdy          csBundle(0).fpu.typeTagIn := FPU.D
203d91483a6Sfdy          csBundle(0).fpu.typeTagOut := FPU.D
204d91483a6Sfdy          csBundle(0).fpu.fromInt := true.B
205d91483a6Sfdy          csBundle(0).fpu.wflags := false.B
206d91483a6Sfdy          csBundle(0).fpu.fpWen := true.B
207d91483a6Sfdy          csBundle(0).fpu.div := false.B
208d91483a6Sfdy          csBundle(0).fpu.sqrt := false.B
209d91483a6Sfdy          csBundle(0).fpu.fcvt := false.B
210d91483a6Sfdy          csBundle(0).flushPipe := false.B
211d91483a6Sfdy          csBundle(1).fuType := FuType.vsetfwf.U
212d91483a6Sfdy          csBundle(1).srcType(0) := SrcType.vp
213cb10a55bSXuan Hu          csBundle(1).lsrc(0) := VCONFIG_IDX.U
214d91483a6Sfdy          csBundle(1).srcType(1) := SrcType.fp
215d91483a6Sfdy          csBundle(1).lsrc(1) := FP_TMP_REG_MV.U
216cb10a55bSXuan Hu          csBundle(1).ldest := VCONFIG_IDX.U
217d91483a6Sfdy        }
218d91483a6Sfdy      }
219d91483a6Sfdy    }
22017ec87f2SXuan Hu    is(UopSplitType.VEC_VVV) {
221d91483a6Sfdy      for (i <- 0 until MAX_VLMUL) {
222d91483a6Sfdy        csBundle(i).lsrc(0) := src1 + i.U
223d91483a6Sfdy        csBundle(i).lsrc(1) := src2 + i.U
224d91483a6Sfdy        csBundle(i).lsrc(2) := dest + i.U
225d91483a6Sfdy        csBundle(i).ldest := dest + i.U
226d91483a6Sfdy        csBundle(i).uopIdx := i.U
227d91483a6Sfdy      }
228d91483a6Sfdy    }
22917ec87f2SXuan Hu    is(UopSplitType.VEC_EXT2) {
230d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 2) {
231d91483a6Sfdy        csBundle(2 * i).lsrc(1) := src2 + i.U
232d91483a6Sfdy        csBundle(2 * i).lsrc(2) := dest + (2 * i).U
233d91483a6Sfdy        csBundle(2 * i).ldest := dest + (2 * i).U
234d91483a6Sfdy        csBundle(2 * i).uopIdx := (2 * i).U
235d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + i.U
236d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := dest + (2 * i + 1).U
237d91483a6Sfdy        csBundle(2 * i + 1).ldest := dest + (2 * i + 1).U
238d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i + 1).U
239d91483a6Sfdy      }
240d91483a6Sfdy    }
24117ec87f2SXuan Hu    is(UopSplitType.VEC_EXT4) {
242d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 4) {
243d91483a6Sfdy        csBundle(4 * i).lsrc(1) := src2 + i.U
244d91483a6Sfdy        csBundle(4 * i).lsrc(2) := dest + (4 * i).U
245d91483a6Sfdy        csBundle(4 * i).ldest := dest + (4 * i).U
246d91483a6Sfdy        csBundle(4 * i).uopIdx := (4 * i).U
247d91483a6Sfdy        csBundle(4 * i + 1).lsrc(1) := src2 + i.U
248d91483a6Sfdy        csBundle(4 * i + 1).lsrc(2) := dest + (4 * i + 1).U
249d91483a6Sfdy        csBundle(4 * i + 1).ldest := dest + (4 * i + 1).U
250d91483a6Sfdy        csBundle(4 * i + 1).uopIdx := (4 * i + 1).U
251d91483a6Sfdy        csBundle(4 * i + 2).lsrc(1) := src2 + i.U
252d91483a6Sfdy        csBundle(4 * i + 2).lsrc(2) := dest + (4 * i + 2).U
253d91483a6Sfdy        csBundle(4 * i + 2).ldest := dest + (4 * i + 2).U
254d91483a6Sfdy        csBundle(4 * i + 2).uopIdx := (4 * i + 2).U
255d91483a6Sfdy        csBundle(4 * i + 3).lsrc(1) := src2 + i.U
256d91483a6Sfdy        csBundle(4 * i + 3).lsrc(2) := dest + (4 * i + 3).U
257d91483a6Sfdy        csBundle(4 * i + 3).ldest := dest + (4 * i + 3).U
258d91483a6Sfdy        csBundle(4 * i + 3).uopIdx := (4 * i + 3).U
259d91483a6Sfdy      }
260d91483a6Sfdy    }
26117ec87f2SXuan Hu    is(UopSplitType.VEC_EXT8) {
262d91483a6Sfdy      for (i <- 0 until MAX_VLMUL) {
263d91483a6Sfdy        csBundle(i).lsrc(1) := src2
264d91483a6Sfdy        csBundle(i).lsrc(2) := dest + i.U
265d91483a6Sfdy        csBundle(i).ldest := dest + i.U
266d91483a6Sfdy        csBundle(i).uopIdx := i.U
267d91483a6Sfdy      }
268d91483a6Sfdy    }
26917ec87f2SXuan Hu    is(UopSplitType.VEC_0XV) {
270d91483a6Sfdy      /*
271d91483a6Sfdy      FMV.D.X
272d91483a6Sfdy       */
273d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
274d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
275d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
276d91483a6Sfdy      csBundle(0).ldest := FP_TMP_REG_MV.U
277d91483a6Sfdy      csBundle(0).fuType := FuType.i2f.U
278d91483a6Sfdy      csBundle(0).rfWen := false.B
279d91483a6Sfdy      csBundle(0).fpWen := true.B
280d91483a6Sfdy      csBundle(0).vecWen := false.B
281d91483a6Sfdy      csBundle(0).fpu.isAddSub := false.B
282d91483a6Sfdy      csBundle(0).fpu.typeTagIn := FPU.D
283d91483a6Sfdy      csBundle(0).fpu.typeTagOut := FPU.D
284d91483a6Sfdy      csBundle(0).fpu.fromInt := true.B
285d91483a6Sfdy      csBundle(0).fpu.wflags := false.B
286d91483a6Sfdy      csBundle(0).fpu.fpWen := true.B
287d91483a6Sfdy      csBundle(0).fpu.div := false.B
288d91483a6Sfdy      csBundle(0).fpu.sqrt := false.B
289d91483a6Sfdy      csBundle(0).fpu.fcvt := false.B
290d91483a6Sfdy      /*
291d91483a6Sfdy      vfmv.s.f
292d91483a6Sfdy       */
293d91483a6Sfdy      csBundle(1).srcType(0) := SrcType.fp
294d91483a6Sfdy      csBundle(1).srcType(1) := SrcType.vp
295d91483a6Sfdy      csBundle(1).srcType(2) := SrcType.vp
296d91483a6Sfdy      csBundle(1).lsrc(0) := FP_TMP_REG_MV.U
297d91483a6Sfdy      csBundle(1).lsrc(1) := 0.U
298d91483a6Sfdy      csBundle(1).lsrc(2) := dest
299d91483a6Sfdy      csBundle(1).ldest := dest
300d91483a6Sfdy      csBundle(1).fuType := FuType.vppu.U
30117ec87f2SXuan Hu      csBundle(1).fuOpType := VpermType.dummy
302d91483a6Sfdy      csBundle(1).rfWen := false.B
303d91483a6Sfdy      csBundle(1).fpWen := false.B
304d91483a6Sfdy      csBundle(1).vecWen := true.B
305d91483a6Sfdy    }
30617ec87f2SXuan Hu    is(UopSplitType.VEC_VXV) {
307d91483a6Sfdy      /*
308d91483a6Sfdy      FMV.D.X
309d91483a6Sfdy       */
310d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
311d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
312d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
313d91483a6Sfdy      csBundle(0).ldest := FP_TMP_REG_MV.U
314d91483a6Sfdy      csBundle(0).fuType := FuType.i2f.U
315d91483a6Sfdy      csBundle(0).rfWen := false.B
316d91483a6Sfdy      csBundle(0).fpWen := true.B
317d91483a6Sfdy      csBundle(0).vecWen := false.B
318d91483a6Sfdy      csBundle(0).fpu.isAddSub := false.B
319d91483a6Sfdy      csBundle(0).fpu.typeTagIn := FPU.D
320d91483a6Sfdy      csBundle(0).fpu.typeTagOut := FPU.D
321d91483a6Sfdy      csBundle(0).fpu.fromInt := true.B
322d91483a6Sfdy      csBundle(0).fpu.wflags := false.B
323d91483a6Sfdy      csBundle(0).fpu.fpWen := true.B
324d91483a6Sfdy      csBundle(0).fpu.div := false.B
325d91483a6Sfdy      csBundle(0).fpu.sqrt := false.B
326d91483a6Sfdy      csBundle(0).fpu.fcvt := false.B
327d91483a6Sfdy      /*
328d91483a6Sfdy      LMUL
329d91483a6Sfdy       */
330d91483a6Sfdy      for (i <- 0 until MAX_VLMUL) {
331d91483a6Sfdy        csBundle(i + 1).srcType(0) := SrcType.fp
332d91483a6Sfdy        csBundle(i + 1).lsrc(0) := FP_TMP_REG_MV.U
333d91483a6Sfdy        csBundle(i + 1).lsrc(1) := src2 + i.U
334d91483a6Sfdy        csBundle(i + 1).lsrc(2) := dest + i.U
335d91483a6Sfdy        csBundle(i + 1).ldest := dest + i.U
336d91483a6Sfdy        csBundle(i + 1).uopIdx := i.U
337d91483a6Sfdy      }
338d91483a6Sfdy    }
33917ec87f2SXuan Hu    is(UopSplitType.VEC_VVW) {
340d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 2) {
341d91483a6Sfdy        csBundle(2 * i).lsrc(0) := src1 + i.U
342d91483a6Sfdy        csBundle(2 * i).lsrc(1) := src2 + i.U
343d91483a6Sfdy        csBundle(2 * i).lsrc(2) := dest + (2 * i).U
344d91483a6Sfdy        csBundle(2 * i).ldest := dest + (2 * i).U
345d91483a6Sfdy        csBundle(2 * i).uopIdx := (2 * i).U
346d91483a6Sfdy        csBundle(2 * i + 1).lsrc(0) := src1 + i.U
347d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + i.U
348d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := dest + (2 * i + 1).U
349d91483a6Sfdy        csBundle(2 * i + 1).ldest := dest + (2 * i + 1).U
350d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i + 1).U
351d91483a6Sfdy      }
352d91483a6Sfdy    }
35317ec87f2SXuan Hu    is(UopSplitType.VEC_WVW) {
354d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 2) {
355d91483a6Sfdy        csBundle(2 * i).lsrc(0) := src1 + i.U
356d91483a6Sfdy        csBundle(2 * i).lsrc(1) := src2 + (2 * i).U
357d91483a6Sfdy        csBundle(2 * i).lsrc(2) := dest + (2 * i).U
358d91483a6Sfdy        csBundle(2 * i).ldest := dest + (2 * i).U
359d91483a6Sfdy        csBundle(2 * i).uopIdx := (2 * i).U
360d91483a6Sfdy        csBundle(2 * i + 1).lsrc(0) := src1 + i.U
361d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + (2 * i + 1).U
362d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := dest + (2 * i + 1).U
363d91483a6Sfdy        csBundle(2 * i + 1).ldest := dest + (2 * i + 1).U
364d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i + 1).U
365d91483a6Sfdy      }
366d91483a6Sfdy    }
36717ec87f2SXuan Hu    is(UopSplitType.VEC_VXW) {
368d91483a6Sfdy      /*
369d91483a6Sfdy      FMV.D.X
370d91483a6Sfdy       */
371d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
372d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
373d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
374d91483a6Sfdy      csBundle(0).ldest := FP_TMP_REG_MV.U
375d91483a6Sfdy      csBundle(0).fuType := FuType.i2f.U
376d91483a6Sfdy      csBundle(0).rfWen := false.B
377d91483a6Sfdy      csBundle(0).fpWen := true.B
378d91483a6Sfdy      csBundle(0).vecWen := false.B
379d91483a6Sfdy      csBundle(0).fpu.isAddSub := false.B
380d91483a6Sfdy      csBundle(0).fpu.typeTagIn := FPU.D
381d91483a6Sfdy      csBundle(0).fpu.typeTagOut := FPU.D
382d91483a6Sfdy      csBundle(0).fpu.fromInt := true.B
383d91483a6Sfdy      csBundle(0).fpu.wflags := false.B
384d91483a6Sfdy      csBundle(0).fpu.fpWen := true.B
385d91483a6Sfdy      csBundle(0).fpu.div := false.B
386d91483a6Sfdy      csBundle(0).fpu.sqrt := false.B
387d91483a6Sfdy      csBundle(0).fpu.fcvt := false.B
388d91483a6Sfdy
389d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 2) {
390d91483a6Sfdy        csBundle(2 * i + 1).srcType(0) := SrcType.fp
391d91483a6Sfdy        csBundle(2 * i + 1).lsrc(0) := FP_TMP_REG_MV.U
392d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + i.U
393d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := dest + (2 * i).U
394d91483a6Sfdy        csBundle(2 * i + 1).ldest := dest + (2 * i).U
395d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i).U
396d91483a6Sfdy        csBundle(2 * i + 2).srcType(0) := SrcType.fp
397d91483a6Sfdy        csBundle(2 * i + 2).lsrc(0) := FP_TMP_REG_MV.U
398d91483a6Sfdy        csBundle(2 * i + 2).lsrc(1) := src2 + i.U
399d91483a6Sfdy        csBundle(2 * i + 2).lsrc(2) := dest + (2 * i + 1).U
400d91483a6Sfdy        csBundle(2 * i + 2).ldest := dest + (2 * i + 1).U
401d91483a6Sfdy        csBundle(2 * i + 2).uopIdx := (2 * i + 1).U
402d91483a6Sfdy      }
403d91483a6Sfdy    }
40417ec87f2SXuan Hu    is(UopSplitType.VEC_WXW) {
405d91483a6Sfdy      /*
406d91483a6Sfdy      FMV.D.X
407d91483a6Sfdy       */
408d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
409d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
410d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
411d91483a6Sfdy      csBundle(0).ldest := FP_TMP_REG_MV.U
412d91483a6Sfdy      csBundle(0).fuType := FuType.i2f.U
413d91483a6Sfdy      csBundle(0).rfWen := false.B
414d91483a6Sfdy      csBundle(0).fpWen := true.B
415d91483a6Sfdy      csBundle(0).vecWen := false.B
416d91483a6Sfdy      csBundle(0).fpu.isAddSub := false.B
417d91483a6Sfdy      csBundle(0).fpu.typeTagIn := FPU.D
418d91483a6Sfdy      csBundle(0).fpu.typeTagOut := FPU.D
419d91483a6Sfdy      csBundle(0).fpu.fromInt := true.B
420d91483a6Sfdy      csBundle(0).fpu.wflags := false.B
421d91483a6Sfdy      csBundle(0).fpu.fpWen := true.B
422d91483a6Sfdy      csBundle(0).fpu.div := false.B
423d91483a6Sfdy      csBundle(0).fpu.sqrt := false.B
424d91483a6Sfdy      csBundle(0).fpu.fcvt := false.B
425d91483a6Sfdy
426d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 2) {
427d91483a6Sfdy        csBundle(2 * i + 1).srcType(0) := SrcType.fp
428d91483a6Sfdy        csBundle(2 * i + 1).lsrc(0) := FP_TMP_REG_MV.U
429d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + (2 * i).U
430d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := dest + (2 * i).U
431d91483a6Sfdy        csBundle(2 * i + 1).ldest := dest + (2 * i).U
432d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i).U
433d91483a6Sfdy        csBundle(2 * i + 2).srcType(0) := SrcType.fp
434d91483a6Sfdy        csBundle(2 * i + 2).lsrc(0) := FP_TMP_REG_MV.U
435d91483a6Sfdy        csBundle(2 * i + 2).lsrc(1) := src2 + (2 * i + 1).U
436d91483a6Sfdy        csBundle(2 * i + 2).lsrc(2) := dest + (2 * i + 1).U
437d91483a6Sfdy        csBundle(2 * i + 2).ldest := dest + (2 * i + 1).U
438d91483a6Sfdy        csBundle(2 * i + 2).uopIdx := (2 * i + 1).U
439d91483a6Sfdy      }
440d91483a6Sfdy    }
44117ec87f2SXuan Hu    is(UopSplitType.VEC_WVV) {
442d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 2) {
443d91483a6Sfdy
444d91483a6Sfdy        csBundle(2 * i).lsrc(0) := src1 + i.U
445d91483a6Sfdy        csBundle(2 * i).lsrc(1) := src2 + (2 * i).U
446d91483a6Sfdy        csBundle(2 * i).lsrc(2) := dest + i.U
447d6f9198fSXuan Hu        csBundle(2 * i).ldest := dest + i.U
448d91483a6Sfdy        csBundle(2 * i).uopIdx := (2 * i).U
449d91483a6Sfdy        csBundle(2 * i + 1).lsrc(0) := src1 + i.U
450d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + (2 * i + 1).U
451d6f9198fSXuan Hu        csBundle(2 * i + 1).lsrc(2) := dest + i.U
452d91483a6Sfdy        csBundle(2 * i + 1).ldest := dest + i.U
453d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i + 1).U
454d91483a6Sfdy      }
455d91483a6Sfdy    }
45617ec87f2SXuan Hu    is(UopSplitType.VEC_WXV) {
457d91483a6Sfdy      /*
458d91483a6Sfdy      FMV.D.X
459d91483a6Sfdy       */
460d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
461d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
462d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
463d91483a6Sfdy      csBundle(0).ldest := FP_TMP_REG_MV.U
464d91483a6Sfdy      csBundle(0).fuType := FuType.i2f.U
465d91483a6Sfdy      csBundle(0).rfWen := false.B
466d91483a6Sfdy      csBundle(0).fpWen := true.B
467d91483a6Sfdy      csBundle(0).vecWen := false.B
468d91483a6Sfdy      csBundle(0).fpu.isAddSub := false.B
469d91483a6Sfdy      csBundle(0).fpu.typeTagIn := FPU.D
470d91483a6Sfdy      csBundle(0).fpu.typeTagOut := FPU.D
471d91483a6Sfdy      csBundle(0).fpu.fromInt := true.B
472d91483a6Sfdy      csBundle(0).fpu.wflags := false.B
473d91483a6Sfdy      csBundle(0).fpu.fpWen := true.B
474d91483a6Sfdy      csBundle(0).fpu.div := false.B
475d91483a6Sfdy      csBundle(0).fpu.sqrt := false.B
476d91483a6Sfdy      csBundle(0).fpu.fcvt := false.B
477d91483a6Sfdy
478d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 2) {
479d91483a6Sfdy        csBundle(2 * i + 1).srcType(0) := SrcType.fp
480d91483a6Sfdy        csBundle(2 * i + 1).lsrc(0) := FP_TMP_REG_MV.U
481d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + (2 * i).U
482d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := dest + i.U
483d6f9198fSXuan Hu        csBundle(2 * i + 1).ldest := dest + i.U
484d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i).U
485d91483a6Sfdy        csBundle(2 * i + 2).srcType(0) := SrcType.fp
486d91483a6Sfdy        csBundle(2 * i + 2).lsrc(0) := FP_TMP_REG_MV.U
487d91483a6Sfdy        csBundle(2 * i + 2).lsrc(1) := src2 + (2 * i + 1).U
488d6f9198fSXuan Hu        csBundle(2 * i + 2).lsrc(2) := dest + i.U
489d91483a6Sfdy        csBundle(2 * i + 2).ldest := dest + i.U
490d91483a6Sfdy        csBundle(2 * i + 2).uopIdx := (2 * i + 1).U
491d91483a6Sfdy      }
492d91483a6Sfdy    }
49317ec87f2SXuan Hu    is(UopSplitType.VEC_VVM) {
494d91483a6Sfdy      csBundle(0).lsrc(2) := dest
495d6f9198fSXuan Hu      csBundle(0).ldest := dest
496d91483a6Sfdy      csBundle(0).uopIdx := 0.U
497d91483a6Sfdy      for (i <- 1 until MAX_VLMUL) {
498d91483a6Sfdy        csBundle(i).lsrc(0) := src1 + i.U
499d91483a6Sfdy        csBundle(i).lsrc(1) := src2 + i.U
500d6f9198fSXuan Hu        csBundle(i).lsrc(2) := dest
501d6f9198fSXuan Hu        csBundle(i).ldest := dest
502d91483a6Sfdy        csBundle(i).uopIdx := i.U
503d91483a6Sfdy      }
504d91483a6Sfdy      csBundle(numOfUop - 1.U).ldest := dest
505d91483a6Sfdy    }
50617ec87f2SXuan Hu    is(UopSplitType.VEC_VXM) {
507d91483a6Sfdy      /*
508d91483a6Sfdy      FMV.D.X
509d91483a6Sfdy       */
510d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
511d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
512d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
513d91483a6Sfdy      csBundle(0).ldest := FP_TMP_REG_MV.U
514d91483a6Sfdy      csBundle(0).fuType := FuType.i2f.U
515d91483a6Sfdy      csBundle(0).rfWen := false.B
516d91483a6Sfdy      csBundle(0).fpWen := true.B
517d91483a6Sfdy      csBundle(0).vecWen := false.B
518d91483a6Sfdy      csBundle(0).fpu.isAddSub := false.B
519d91483a6Sfdy      csBundle(0).fpu.typeTagIn := FPU.D
520d91483a6Sfdy      csBundle(0).fpu.typeTagOut := FPU.D
521d91483a6Sfdy      csBundle(0).fpu.fromInt := true.B
522d91483a6Sfdy      csBundle(0).fpu.wflags := false.B
523d91483a6Sfdy      csBundle(0).fpu.fpWen := true.B
524d91483a6Sfdy      csBundle(0).fpu.div := false.B
525d91483a6Sfdy      csBundle(0).fpu.sqrt := false.B
526d91483a6Sfdy      csBundle(0).fpu.fcvt := false.B
527d91483a6Sfdy      //LMUL
528d91483a6Sfdy      csBundle(1).srcType(0) := SrcType.fp
529d91483a6Sfdy      csBundle(1).lsrc(0) := FP_TMP_REG_MV.U
530d91483a6Sfdy      csBundle(1).lsrc(2) := dest
531d6f9198fSXuan Hu      csBundle(1).ldest := dest
532d91483a6Sfdy      csBundle(1).uopIdx := 0.U
533d91483a6Sfdy      for (i <- 1 until MAX_VLMUL) {
534d91483a6Sfdy        csBundle(i + 1).srcType(0) := SrcType.fp
535d91483a6Sfdy        csBundle(i + 1).lsrc(0) := FP_TMP_REG_MV.U
536d91483a6Sfdy        csBundle(i + 1).lsrc(1) := src2 + i.U
537d6f9198fSXuan Hu        csBundle(i + 1).lsrc(2) := dest
538d6f9198fSXuan Hu        csBundle(i + 1).ldest := dest
539d91483a6Sfdy        csBundle(i + 1).uopIdx := i.U
540d91483a6Sfdy      }
541d91483a6Sfdy      csBundle(numOfUop - 1.U).ldest := dest
542d91483a6Sfdy    }
54317ec87f2SXuan Hu    is(UopSplitType.VEC_SLIDE1UP) {
544d91483a6Sfdy      /*
545d91483a6Sfdy      FMV.D.X
546d91483a6Sfdy       */
547d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
548d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
549d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
550d91483a6Sfdy      csBundle(0).ldest := FP_TMP_REG_MV.U
551d91483a6Sfdy      csBundle(0).fuType := FuType.i2f.U
552d91483a6Sfdy      csBundle(0).rfWen := false.B
553d91483a6Sfdy      csBundle(0).fpWen := true.B
554d91483a6Sfdy      csBundle(0).vecWen := false.B
555d91483a6Sfdy      csBundle(0).fpu.isAddSub := false.B
556d91483a6Sfdy      csBundle(0).fpu.typeTagIn := FPU.D
557d91483a6Sfdy      csBundle(0).fpu.typeTagOut := FPU.D
558d91483a6Sfdy      csBundle(0).fpu.fromInt := true.B
559d91483a6Sfdy      csBundle(0).fpu.wflags := false.B
560d91483a6Sfdy      csBundle(0).fpu.fpWen := true.B
561d91483a6Sfdy      csBundle(0).fpu.div := false.B
562d91483a6Sfdy      csBundle(0).fpu.sqrt := false.B
563d91483a6Sfdy      csBundle(0).fpu.fcvt := false.B
564d91483a6Sfdy      //LMUL
565d91483a6Sfdy      csBundle(1).srcType(0) := SrcType.fp
566d91483a6Sfdy      csBundle(1).lsrc(0) := FP_TMP_REG_MV.U
567d91483a6Sfdy      csBundle(1).lsrc(2) := dest
568d91483a6Sfdy      csBundle(1).ldest := dest
569d91483a6Sfdy      csBundle(1).uopIdx := 0.U
570d91483a6Sfdy      for (i <- 1 until MAX_VLMUL) {
571d91483a6Sfdy        csBundle(i + 1).srcType(0) := SrcType.vp
572d91483a6Sfdy        csBundle(i + 1).lsrc(0) := src2 + (i - 1).U
573d91483a6Sfdy        csBundle(i + 1).lsrc(1) := src2 + i.U
574d91483a6Sfdy        csBundle(i + 1).lsrc(2) := dest + i.U
575d91483a6Sfdy        csBundle(i + 1).ldest := dest + i.U
576d91483a6Sfdy        csBundle(i + 1).uopIdx := i.U
577d91483a6Sfdy      }
578d91483a6Sfdy    }
57917ec87f2SXuan Hu    is(UopSplitType.VEC_FSLIDE1UP) {
580d91483a6Sfdy      //LMUL
581d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.fp
582d91483a6Sfdy      csBundle(0).lsrc(0) := src1
583d91483a6Sfdy      csBundle(0).lsrc(1) := src2
584d91483a6Sfdy      csBundle(0).lsrc(2) := dest
585d91483a6Sfdy      csBundle(0).ldest := dest
586d91483a6Sfdy      csBundle(0).uopIdx := 0.U
587d91483a6Sfdy      for (i <- 1 until MAX_VLMUL) {
588d91483a6Sfdy        csBundle(i).srcType(0) := SrcType.vp
589d91483a6Sfdy        csBundle(i).lsrc(0) := src2 + (i - 1).U
590d91483a6Sfdy        csBundle(i).lsrc(1) := src2 + i.U
591d91483a6Sfdy        csBundle(i).lsrc(2) := dest + i.U
592d91483a6Sfdy        csBundle(i).ldest := dest + i.U
593d91483a6Sfdy        csBundle(i).uopIdx := i.U
594d91483a6Sfdy      }
595d91483a6Sfdy    }
59617ec87f2SXuan Hu    is(UopSplitType.VEC_SLIDE1DOWN) { // lmul+lmul = 16
597d91483a6Sfdy      /*
598d91483a6Sfdy      FMV.D.X
599d91483a6Sfdy       */
600d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
601d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
602d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
603d91483a6Sfdy      csBundle(0).ldest := FP_TMP_REG_MV.U
604d91483a6Sfdy      csBundle(0).fuType := FuType.i2f.U
605d91483a6Sfdy      csBundle(0).rfWen := false.B
606d91483a6Sfdy      csBundle(0).fpWen := true.B
607d91483a6Sfdy      csBundle(0).vecWen := false.B
608d91483a6Sfdy      csBundle(0).fpu.isAddSub := false.B
609d91483a6Sfdy      csBundle(0).fpu.typeTagIn := FPU.D
610d91483a6Sfdy      csBundle(0).fpu.typeTagOut := FPU.D
611d91483a6Sfdy      csBundle(0).fpu.fromInt := true.B
612d91483a6Sfdy      csBundle(0).fpu.wflags := false.B
613d91483a6Sfdy      csBundle(0).fpu.fpWen := true.B
614d91483a6Sfdy      csBundle(0).fpu.div := false.B
615d91483a6Sfdy      csBundle(0).fpu.sqrt := false.B
616d91483a6Sfdy      csBundle(0).fpu.fcvt := false.B
617d91483a6Sfdy      //LMUL
618d91483a6Sfdy      for (i <- 0 until MAX_VLMUL) {
619d91483a6Sfdy        csBundle(2 * i + 1).srcType(0) := SrcType.vp
620d91483a6Sfdy        csBundle(2 * i + 1).srcType(1) := SrcType.vp
621d91483a6Sfdy        csBundle(2 * i + 1).lsrc(0) := src2 + (i + 1).U
622d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + i.U
623d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := dest + i.U
624d91483a6Sfdy        csBundle(2 * i + 1).ldest := VECTOR_TMP_REG_LMUL.U
625d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i).U
626d91483a6Sfdy        if (2 * i + 2 < MAX_VLMUL * 2) {
627d91483a6Sfdy          csBundle(2 * i + 2).srcType(0) := SrcType.fp
628d91483a6Sfdy          csBundle(2 * i + 2).lsrc(0) := FP_TMP_REG_MV.U
629d91483a6Sfdy          // csBundle(2 * i + 2).lsrc(1) := src2 + i.U         // DontCare
630d91483a6Sfdy          csBundle(2 * i + 2).lsrc(2) := VECTOR_TMP_REG_LMUL.U
631d91483a6Sfdy          csBundle(2 * i + 2).ldest := dest + i.U
632d91483a6Sfdy          csBundle(2 * i + 2).uopIdx := (2 * i + 1).U
633d91483a6Sfdy        }
634d91483a6Sfdy      }
635d91483a6Sfdy      csBundle(numOfUop - 1.U).srcType(0) := SrcType.fp
636d91483a6Sfdy      csBundle(numOfUop - 1.U).lsrc(0) := FP_TMP_REG_MV.U
637d91483a6Sfdy      csBundle(numOfUop - 1.U).ldest := dest + lmul - 1.U
638d91483a6Sfdy    }
63917ec87f2SXuan Hu    is(UopSplitType.VEC_FSLIDE1DOWN) {
640d91483a6Sfdy      //LMUL
641d91483a6Sfdy      for (i <- 0 until MAX_VLMUL) {
642d91483a6Sfdy        csBundle(2 * i).srcType(0) := SrcType.vp
643d91483a6Sfdy        csBundle(2 * i).srcType(1) := SrcType.vp
644d91483a6Sfdy        csBundle(2 * i).lsrc(0) := src2 + (i + 1).U
645d91483a6Sfdy        csBundle(2 * i).lsrc(1) := src2 + i.U
646d91483a6Sfdy        csBundle(2 * i).lsrc(2) := dest + i.U
647d91483a6Sfdy        csBundle(2 * i).ldest := VECTOR_TMP_REG_LMUL.U
648d91483a6Sfdy        csBundle(2 * i).uopIdx := (2 * i).U
649d91483a6Sfdy        csBundle(2 * i + 1).srcType(0) := SrcType.fp
650d91483a6Sfdy        csBundle(2 * i + 1).lsrc(0) := src1
651d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := VECTOR_TMP_REG_LMUL.U
652d91483a6Sfdy        csBundle(2 * i + 1).ldest := dest + i.U
653d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i + 1).U
654d91483a6Sfdy      }
655d91483a6Sfdy      csBundle(numOfUop - 1.U).srcType(0) := SrcType.fp
656d91483a6Sfdy      csBundle(numOfUop - 1.U).lsrc(0) := src1
657d91483a6Sfdy      csBundle(numOfUop - 1.U).ldest := dest + lmul - 1.U
658d91483a6Sfdy    }
65917ec87f2SXuan Hu    is(UopSplitType.VEC_VRED) {
660d91483a6Sfdy      when(simple.io.enq.vtype.vlmul === "b001".U) {
661d91483a6Sfdy        csBundle(0).srcType(2) := SrcType.DC
662d91483a6Sfdy        csBundle(0).lsrc(0) := src2 + 1.U
663d91483a6Sfdy        csBundle(0).lsrc(1) := src2
664d91483a6Sfdy        csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
665d91483a6Sfdy        csBundle(0).uopIdx := 0.U
666d91483a6Sfdy      }
667d91483a6Sfdy      when(simple.io.enq.vtype.vlmul === "b010".U) {
668d91483a6Sfdy        csBundle(0).srcType(2) := SrcType.DC
669d91483a6Sfdy        csBundle(0).lsrc(0) := src2 + 1.U
670d91483a6Sfdy        csBundle(0).lsrc(1) := src2
671d91483a6Sfdy        csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
672d91483a6Sfdy        csBundle(0).uopIdx := 0.U
673d91483a6Sfdy
674d91483a6Sfdy        csBundle(1).srcType(2) := SrcType.DC
675d91483a6Sfdy        csBundle(1).lsrc(0) := src2 + 3.U
676d91483a6Sfdy        csBundle(1).lsrc(1) := src2 + 2.U
677d91483a6Sfdy        csBundle(1).ldest := (VECTOR_TMP_REG_LMUL + 1).U
678d91483a6Sfdy        csBundle(1).uopIdx := 1.U
679d91483a6Sfdy
680d91483a6Sfdy        csBundle(2).srcType(2) := SrcType.DC
681d91483a6Sfdy        csBundle(2).lsrc(0) := (VECTOR_TMP_REG_LMUL + 1).U
682d91483a6Sfdy        csBundle(2).lsrc(1) := VECTOR_TMP_REG_LMUL.U
683d91483a6Sfdy        csBundle(2).ldest := (VECTOR_TMP_REG_LMUL + 2).U
684d91483a6Sfdy        csBundle(2).uopIdx := 2.U
685d91483a6Sfdy      }
686d91483a6Sfdy      when(simple.io.enq.vtype.vlmul === "b011".U) {
687d91483a6Sfdy        for (i <- 0 until MAX_VLMUL) {
688d91483a6Sfdy          if (i < MAX_VLMUL - MAX_VLMUL / 2) {
689d91483a6Sfdy            csBundle(i).lsrc(0) := src2 + (i * 2 + 1).U
690d91483a6Sfdy            csBundle(i).lsrc(1) := src2 + (i * 2).U
691d91483a6Sfdy            csBundle(i).ldest := (VECTOR_TMP_REG_LMUL + i).U
692d91483a6Sfdy          } else if (i < MAX_VLMUL - MAX_VLMUL / 4) {
693d91483a6Sfdy            csBundle(i).lsrc(0) := (VECTOR_TMP_REG_LMUL + (i - MAX_VLMUL / 2) * 2 + 1).U
694d91483a6Sfdy            csBundle(i).lsrc(1) := (VECTOR_TMP_REG_LMUL + (i - MAX_VLMUL / 2) * 2).U
695d91483a6Sfdy            csBundle(i).ldest := (VECTOR_TMP_REG_LMUL + i).U
696d91483a6Sfdy          } else if (i < MAX_VLMUL - MAX_VLMUL / 8) {
697d91483a6Sfdy            csBundle(6).lsrc(0) := (VECTOR_TMP_REG_LMUL + 5).U
698d91483a6Sfdy            csBundle(6).lsrc(1) := (VECTOR_TMP_REG_LMUL + 4).U
699d91483a6Sfdy            csBundle(6).ldest := (VECTOR_TMP_REG_LMUL + 6).U
700d91483a6Sfdy          }
701d91483a6Sfdy          csBundle(i).srcType(2) := SrcType.DC
702d91483a6Sfdy          csBundle(i).uopIdx := i.U
703d91483a6Sfdy        }
704d91483a6Sfdy      }
705d91483a6Sfdy      when(simple.io.enq.vtype.vlmul.orR()) {
706d91483a6Sfdy        csBundle(numOfUop - 1.U).srcType(2) := SrcType.vp
707d91483a6Sfdy        csBundle(numOfUop - 1.U).lsrc(0) := src1
708d91483a6Sfdy        csBundle(numOfUop - 1.U).lsrc(1) := VECTOR_TMP_REG_LMUL.U + numOfUop - 2.U
709d91483a6Sfdy        csBundle(numOfUop - 1.U).lsrc(2) := dest
710d91483a6Sfdy        csBundle(numOfUop - 1.U).ldest := dest
711d91483a6Sfdy        csBundle(numOfUop - 1.U).uopIdx := numOfUop - 1.U
712d91483a6Sfdy      }
713d91483a6Sfdy    }
714d91483a6Sfdy
71517ec87f2SXuan Hu    is(UopSplitType.VEC_SLIDEUP) {
716d91483a6Sfdy      // FMV.D.X
717d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
718d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
719d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
720d91483a6Sfdy      csBundle(0).ldest := FP_TMP_REG_MV.U
721d91483a6Sfdy      csBundle(0).fuType := FuType.i2f.U
722d91483a6Sfdy      csBundle(0).rfWen := false.B
723d91483a6Sfdy      csBundle(0).fpWen := true.B
724d91483a6Sfdy      csBundle(0).vecWen := false.B
725d91483a6Sfdy      csBundle(0).fpu.isAddSub := false.B
726d91483a6Sfdy      csBundle(0).fpu.typeTagIn := FPU.D
727d91483a6Sfdy      csBundle(0).fpu.typeTagOut := FPU.D
728d91483a6Sfdy      csBundle(0).fpu.fromInt := true.B
729d91483a6Sfdy      csBundle(0).fpu.wflags := false.B
730d91483a6Sfdy      csBundle(0).fpu.fpWen := true.B
731d91483a6Sfdy      csBundle(0).fpu.div := false.B
732d91483a6Sfdy      csBundle(0).fpu.sqrt := false.B
733d91483a6Sfdy      csBundle(0).fpu.fcvt := false.B
734d91483a6Sfdy      // LMUL
735d91483a6Sfdy      for (i <- 0 until MAX_VLMUL)
736d91483a6Sfdy        for (j <- 0 to i) {
737*4ee69032SzhanglyGit          val old_vd = if (j == 0) {
738*4ee69032SzhanglyGit            dest + i.U
739*4ee69032SzhanglyGit          } else (VECTOR_TMP_REG_LMUL + j - 1).U
740*4ee69032SzhanglyGit          val vd = if (j == i) {
741*4ee69032SzhanglyGit            dest + i.U
742*4ee69032SzhanglyGit          } else (VECTOR_TMP_REG_LMUL + j).U
743d91483a6Sfdy          csBundle(i * (i + 1) / 2 + j + 1).srcType(0) := SrcType.fp
744d91483a6Sfdy          csBundle(i * (i + 1) / 2 + j + 1).lsrc(0) := FP_TMP_REG_MV.U
745d91483a6Sfdy          csBundle(i * (i + 1) / 2 + j + 1).lsrc(1) := src2 + j.U
746d91483a6Sfdy          csBundle(i * (i + 1) / 2 + j + 1).lsrc(2) := old_vd
747d91483a6Sfdy          csBundle(i * (i + 1) / 2 + j + 1).ldest := vd
748d91483a6Sfdy          csBundle(i * (i + 1) / 2 + j + 1).uopIdx := (i * (i + 1) / 2 + j).U
749d91483a6Sfdy        }
750d91483a6Sfdy    }
751d91483a6Sfdy
75217ec87f2SXuan Hu    is(UopSplitType.VEC_ISLIDEUP) {
753d91483a6Sfdy      // LMUL
754d91483a6Sfdy      for (i <- 0 until MAX_VLMUL)
755d91483a6Sfdy        for (j <- 0 to i) {
756*4ee69032SzhanglyGit          val old_vd = if (j == 0) {
757*4ee69032SzhanglyGit            dest + i.U
758*4ee69032SzhanglyGit          } else (VECTOR_TMP_REG_LMUL + j - 1).U
759*4ee69032SzhanglyGit          val vd = if (j == i) {
760*4ee69032SzhanglyGit            dest + i.U
761*4ee69032SzhanglyGit          } else (VECTOR_TMP_REG_LMUL + j).U
762d91483a6Sfdy          csBundle(i * (i + 1) / 2 + j).lsrc(1) := src2 + j.U
763d91483a6Sfdy          csBundle(i * (i + 1) / 2 + j).lsrc(2) := old_vd
764d91483a6Sfdy          csBundle(i * (i + 1) / 2 + j).ldest := vd
765d91483a6Sfdy          csBundle(i * (i + 1) / 2 + j).uopIdx := (i * (i + 1) / 2 + j).U
766d91483a6Sfdy        }
767d91483a6Sfdy    }
768d91483a6Sfdy
76917ec87f2SXuan Hu    is(UopSplitType.VEC_SLIDEDOWN) {
770d91483a6Sfdy      // FMV.D.X
771d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
772d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
773d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
774d91483a6Sfdy      csBundle(0).ldest := FP_TMP_REG_MV.U
775d91483a6Sfdy      csBundle(0).fuType := FuType.i2f.U
776d91483a6Sfdy      csBundle(0).rfWen := false.B
777d91483a6Sfdy      csBundle(0).fpWen := true.B
778d91483a6Sfdy      csBundle(0).vecWen := false.B
779d91483a6Sfdy      csBundle(0).fpu.isAddSub := false.B
780d91483a6Sfdy      csBundle(0).fpu.typeTagIn := FPU.D
781d91483a6Sfdy      csBundle(0).fpu.typeTagOut := FPU.D
782d91483a6Sfdy      csBundle(0).fpu.fromInt := true.B
783d91483a6Sfdy      csBundle(0).fpu.wflags := false.B
784d91483a6Sfdy      csBundle(0).fpu.fpWen := true.B
785d91483a6Sfdy      csBundle(0).fpu.div := false.B
786d91483a6Sfdy      csBundle(0).fpu.sqrt := false.B
787d91483a6Sfdy      csBundle(0).fpu.fcvt := false.B
788d91483a6Sfdy      // LMUL
789d91483a6Sfdy      for (i <- 0 until MAX_VLMUL)
790d91483a6Sfdy        for (j <- (0 to i).reverse) {
791d91483a6Sfdy          when(i.U < lmul) {
792*4ee69032SzhanglyGit            val old_vd = if (j == 0) {
793*4ee69032SzhanglyGit              dest + lmul - 1.U - i.U
794*4ee69032SzhanglyGit            } else (VECTOR_TMP_REG_LMUL + j - 1).U
795*4ee69032SzhanglyGit            val vd = if (j == i) {
796*4ee69032SzhanglyGit              dest + lmul - 1.U - i.U
797*4ee69032SzhanglyGit            } else (VECTOR_TMP_REG_LMUL + j).U
798d91483a6Sfdy            csBundle(numOfUop - (i * (i + 1) / 2 + i - j + 1).U).srcType(0) := SrcType.fp
799d91483a6Sfdy            csBundle(numOfUop - (i * (i + 1) / 2 + i - j + 1).U).lsrc(0) := FP_TMP_REG_MV.U
800d91483a6Sfdy            csBundle(numOfUop - (i * (i + 1) / 2 + i - j + 1).U).lsrc(1) := src2 + lmul - 1.U - j.U
801d91483a6Sfdy            csBundle(numOfUop - (i * (i + 1) / 2 + i - j + 1).U).lsrc(2) := old_vd
802d91483a6Sfdy            csBundle(numOfUop - (i * (i + 1) / 2 + i - j + 1).U).ldest := vd
803d91483a6Sfdy            csBundle(numOfUop - (i * (i + 1) / 2 + i - j + 1).U).uopIdx := numOfUop - (i * (i + 1) / 2 + i - j + 2).U
804d91483a6Sfdy          }
805d91483a6Sfdy        }
806d91483a6Sfdy    }
807d91483a6Sfdy
80817ec87f2SXuan Hu    is(UopSplitType.VEC_ISLIDEDOWN) {
809d91483a6Sfdy      // LMUL
810d91483a6Sfdy      for (i <- 0 until MAX_VLMUL)
811d91483a6Sfdy        for (j <- (0 to i).reverse) {
812d91483a6Sfdy          when(i.U < lmul) {
813*4ee69032SzhanglyGit            val old_vd = if (j == 0) {
814*4ee69032SzhanglyGit              dest + lmul - 1.U - i.U
815*4ee69032SzhanglyGit            } else (VECTOR_TMP_REG_LMUL + j - 1).U
816*4ee69032SzhanglyGit            val vd = if (j == i) {
817*4ee69032SzhanglyGit              dest + lmul - 1.U - i.U
818*4ee69032SzhanglyGit            } else (VECTOR_TMP_REG_LMUL + j).U
819d91483a6Sfdy            csBundle(numOfUop - (i * (i + 1) / 2 + i - j + 1).U).lsrc(1) := src2 + lmul - 1.U - j.U
820d91483a6Sfdy            csBundle(numOfUop - (i * (i + 1) / 2 + i - j + 1).U).lsrc(2) := old_vd
821d91483a6Sfdy            csBundle(numOfUop - (i * (i + 1) / 2 + i - j + 1).U).ldest := vd
822d91483a6Sfdy            csBundle(numOfUop - (i * (i + 1) / 2 + i - j + 1).U).uopIdx := numOfUop - (i * (i + 1) / 2 + i - j + 1).U
823d91483a6Sfdy          }
824d91483a6Sfdy        }
825d91483a6Sfdy    }
826d91483a6Sfdy
82717ec87f2SXuan Hu    is(UopSplitType.VEC_M0X) {
828d91483a6Sfdy      // LMUL
829d91483a6Sfdy      for (i <- 0 until MAX_VLMUL) {
830d91483a6Sfdy        val srcType0 = if (i == 0) SrcType.DC else SrcType.vp
831d91483a6Sfdy        val ldest = (VECTOR_TMP_REG_LMUL + i).U
832d91483a6Sfdy        csBundle(i).srcType(0) := srcType0
833d91483a6Sfdy        csBundle(i).srcType(1) := SrcType.vp
834d91483a6Sfdy        csBundle(i).rfWen := false.B
835d91483a6Sfdy        csBundle(i).vecWen := true.B
836d91483a6Sfdy        csBundle(i).lsrc(0) := (VECTOR_TMP_REG_LMUL + i - 1).U
837d91483a6Sfdy        csBundle(i).lsrc(1) := src2
838d91483a6Sfdy        // csBundle(i).lsrc(2) := dest + i.U  DontCare
839d91483a6Sfdy        csBundle(i).ldest := ldest
840d91483a6Sfdy        csBundle(i).uopIdx := i.U
841d91483a6Sfdy      }
842d91483a6Sfdy      csBundle(lmul - 1.U).vecWen := false.B
843d91483a6Sfdy      csBundle(lmul - 1.U).fpWen := true.B
844d91483a6Sfdy      csBundle(lmul - 1.U).ldest := FP_TMP_REG_MV.U
845d91483a6Sfdy      // FMV_X_D
846d91483a6Sfdy      csBundle(lmul).srcType(0) := SrcType.fp
847d91483a6Sfdy      csBundle(lmul).srcType(1) := SrcType.imm
848d91483a6Sfdy      csBundle(lmul).lsrc(0) := FP_TMP_REG_MV.U
849d91483a6Sfdy      csBundle(lmul).lsrc(1) := 0.U
850d91483a6Sfdy      csBundle(lmul).ldest := dest
851d91483a6Sfdy      csBundle(lmul).fuType := FuType.fmisc.U
852d91483a6Sfdy      csBundle(lmul).rfWen := true.B
853d91483a6Sfdy      csBundle(lmul).fpWen := false.B
854d91483a6Sfdy      csBundle(lmul).vecWen := false.B
855d91483a6Sfdy      csBundle(lmul).fpu.isAddSub := false.B
856d91483a6Sfdy      csBundle(lmul).fpu.typeTagIn := FPU.D
857d91483a6Sfdy      csBundle(lmul).fpu.typeTagOut := FPU.D
858d91483a6Sfdy      csBundle(lmul).fpu.fromInt := false.B
859d91483a6Sfdy      csBundle(lmul).fpu.wflags := false.B
860d91483a6Sfdy      csBundle(lmul).fpu.fpWen := false.B
861d91483a6Sfdy      csBundle(lmul).fpu.div := false.B
862d91483a6Sfdy      csBundle(lmul).fpu.sqrt := false.B
863d91483a6Sfdy      csBundle(lmul).fpu.fcvt := false.B
864d91483a6Sfdy    }
865d91483a6Sfdy
86617ec87f2SXuan Hu    is(UopSplitType.VEC_MVV) {
867d91483a6Sfdy      // LMUL
868d91483a6Sfdy      for (i <- 0 until MAX_VLMUL) {
869d91483a6Sfdy        val srcType0 = if (i == 0) SrcType.DC else SrcType.vp
870d91483a6Sfdy        csBundle(i * 2 + 0).srcType(0) := srcType0
871d91483a6Sfdy        csBundle(i * 2 + 0).srcType(1) := SrcType.vp
872d91483a6Sfdy        csBundle(i * 2 + 0).lsrc(0) := (VECTOR_TMP_REG_LMUL + i - 1).U
873d91483a6Sfdy        csBundle(i * 2 + 0).lsrc(1) := src2
874d91483a6Sfdy        csBundle(i * 2 + 0).lsrc(2) := dest + i.U
875d91483a6Sfdy        csBundle(i * 2 + 0).ldest := dest + i.U
876d91483a6Sfdy        csBundle(i * 2 + 0).uopIdx := (i * 2 + 0).U
877d91483a6Sfdy
878d91483a6Sfdy        csBundle(i * 2 + 1).srcType(0) := srcType0
879d91483a6Sfdy        csBundle(i * 2 + 1).srcType(1) := SrcType.vp
880d91483a6Sfdy        csBundle(i * 2 + 1).lsrc(0) := (VECTOR_TMP_REG_LMUL + i - 1).U
881d91483a6Sfdy        csBundle(i * 2 + 1).lsrc(1) := src2
882d91483a6Sfdy        // csBundle(i).lsrc(2) := dest + i.U  DontCare
883d91483a6Sfdy        csBundle(i * 2 + 1).ldest := (VECTOR_TMP_REG_LMUL + i).U
884d91483a6Sfdy        csBundle(i * 2 + 1).uopIdx := (i * 2 + 1).U
885d91483a6Sfdy      }
886d91483a6Sfdy    }
887d91483a6Sfdy
88817ec87f2SXuan Hu    is(UopSplitType.VEC_M0X_VFIRST) {
889d91483a6Sfdy      // LMUL
890d91483a6Sfdy      csBundle(0).rfWen := false.B
891d91483a6Sfdy      csBundle(0).fpWen := true.B
892d91483a6Sfdy      csBundle(0).ldest := FP_TMP_REG_MV.U
893d91483a6Sfdy      // FMV_X_D
894d91483a6Sfdy      csBundle(1).srcType(0) := SrcType.fp
895d91483a6Sfdy      csBundle(1).srcType(1) := SrcType.imm
896d91483a6Sfdy      csBundle(1).lsrc(0) := FP_TMP_REG_MV.U
897d91483a6Sfdy      csBundle(1).lsrc(1) := 0.U
898d91483a6Sfdy      csBundle(1).ldest := dest
899d91483a6Sfdy      csBundle(1).fuType := FuType.fmisc.U
900d91483a6Sfdy      csBundle(1).rfWen := true.B
901d91483a6Sfdy      csBundle(1).fpWen := false.B
902d91483a6Sfdy      csBundle(1).vecWen := false.B
903d91483a6Sfdy      csBundle(1).fpu.isAddSub := false.B
904d91483a6Sfdy      csBundle(1).fpu.typeTagIn := FPU.D
905d91483a6Sfdy      csBundle(1).fpu.typeTagOut := FPU.D
906d91483a6Sfdy      csBundle(1).fpu.fromInt := false.B
907d91483a6Sfdy      csBundle(1).fpu.wflags := false.B
908d91483a6Sfdy      csBundle(1).fpu.fpWen := false.B
909d91483a6Sfdy      csBundle(1).fpu.div := false.B
910d91483a6Sfdy      csBundle(1).fpu.sqrt := false.B
911d91483a6Sfdy      csBundle(1).fpu.fcvt := false.B
912d91483a6Sfdy    }
913*4ee69032SzhanglyGit    is(UopSplitType.VEC_US_LD) {
914*4ee69032SzhanglyGit      /*
915*4ee69032SzhanglyGit      FMV.D.X
916*4ee69032SzhanglyGit       */
917*4ee69032SzhanglyGit      csBundle(0).srcType(0) := SrcType.reg
918*4ee69032SzhanglyGit      csBundle(0).srcType(1) := SrcType.imm
919*4ee69032SzhanglyGit      csBundle(0).lsrc(1) := 0.U
920*4ee69032SzhanglyGit      csBundle(0).ldest := FP_TMP_REG_MV.U
921*4ee69032SzhanglyGit      csBundle(0).fuType := FuType.i2f.U
922*4ee69032SzhanglyGit      csBundle(0).rfWen := false.B
923*4ee69032SzhanglyGit      csBundle(0).fpWen := true.B
924*4ee69032SzhanglyGit      csBundle(0).vecWen := false.B
925*4ee69032SzhanglyGit      csBundle(0).fpu.isAddSub := false.B
926*4ee69032SzhanglyGit      csBundle(0).fpu.typeTagIn := FPU.D
927*4ee69032SzhanglyGit      csBundle(0).fpu.typeTagOut := FPU.D
928*4ee69032SzhanglyGit      csBundle(0).fpu.fromInt := true.B
929*4ee69032SzhanglyGit      csBundle(0).fpu.wflags := false.B
930*4ee69032SzhanglyGit      csBundle(0).fpu.fpWen := true.B
931*4ee69032SzhanglyGit      csBundle(0).fpu.div := false.B
932*4ee69032SzhanglyGit      csBundle(0).fpu.sqrt := false.B
933*4ee69032SzhanglyGit      csBundle(0).fpu.fcvt := false.B
934*4ee69032SzhanglyGit      //LMUL
935*4ee69032SzhanglyGit      for (i <- 0 until MAX_VLMUL) {
936*4ee69032SzhanglyGit        csBundle(i + 1).srcType(0) := SrcType.fp
937*4ee69032SzhanglyGit        csBundle(i + 1).lsrc(0) := FP_TMP_REG_MV.U
938*4ee69032SzhanglyGit        csBundle(i + 1).ldest := dest + i.U
939*4ee69032SzhanglyGit        csBundle(i + 1).uopIdx := i.U
940*4ee69032SzhanglyGit      }
941*4ee69032SzhanglyGit    }
942d91483a6Sfdy  }
943d91483a6Sfdy
944d91483a6Sfdy  //uops dispatch
945d91483a6Sfdy  val normal :: ext :: Nil = Enum(2)
946d91483a6Sfdy  val stateReg = RegInit(normal)
947d91483a6Sfdy  val uopRes = RegInit(0.U)
948d91483a6Sfdy
949d91483a6Sfdy  //readyFromRename Counter
950d91483a6Sfdy  val readyCounter = PriorityMuxDefault(io.readyFromRename.map(x => !x).zip((0 to (RenameWidth - 1)).map(_.U)), RenameWidth.U)
951d91483a6Sfdy
952d91483a6Sfdy  switch(stateReg) {
953d91483a6Sfdy    is(normal) {
954d91483a6Sfdy      stateReg := Mux(io.validFromIBuf(0) && (numOfUop > readyCounter) && (readyCounter =/= 0.U), ext, normal)
955d91483a6Sfdy    }
956d91483a6Sfdy    is(ext) {
957d91483a6Sfdy      stateReg := Mux(io.validFromIBuf(0) && (uopRes > readyCounter), ext, normal)
958d91483a6Sfdy    }
959d91483a6Sfdy  }
960d91483a6Sfdy
961d91483a6Sfdy  val uopRes0 = Mux(stateReg === normal, numOfUop, uopRes)
962d91483a6Sfdy  val uopResJudge = Mux(stateReg === normal,
963d91483a6Sfdy    io.validFromIBuf(0) && (readyCounter =/= 0.U) && (uopRes0 > readyCounter),
964d91483a6Sfdy    io.validFromIBuf(0) && (uopRes0 > readyCounter))
965d91483a6Sfdy  uopRes := Mux(uopResJudge, uopRes0 - readyCounter, 0.U)
966d91483a6Sfdy
967d91483a6Sfdy  for(i <- 0 until RenameWidth) {
968d91483a6Sfdy    decodedInsts(i) := MuxCase(csBundle(i), Seq(
969d91483a6Sfdy      (stateReg === normal) -> csBundle(i),
970d91483a6Sfdy      (stateReg === ext) -> Mux((i.U + numOfUop -uopRes) < maxUopSize.U, csBundle(i.U + numOfUop - uopRes), csBundle(maxUopSize - 1))
971d91483a6Sfdy    ))
972d91483a6Sfdy  }
973d91483a6Sfdy
974d91483a6Sfdy
975d91483a6Sfdy  val validSimple = Wire(Vec(DecodeWidth - 1, Bool()))
976d91483a6Sfdy  validSimple.zip(io.validFromIBuf.drop(1).zip(io.isComplex)).map{ case (dst, (src1, src2)) => dst := src1 && !src2 }
977d91483a6Sfdy  val notInf = Wire(Vec(DecodeWidth - 1, Bool()))
978d91483a6Sfdy  notInf.zip(io.validFromIBuf.drop(1).zip(validSimple)).map{ case (dst, (src1, src2)) => dst := !src1 || src2 }
979d91483a6Sfdy  val notInfVec = Wire(Vec(DecodeWidth, Bool()))
980d91483a6Sfdy  notInfVec.drop(1).zip(0 until DecodeWidth - 1).map{ case (dst, i) => dst := Cat(notInf.take(i + 1)).andR}
981d91483a6Sfdy  notInfVec(0) := true.B
982d91483a6Sfdy
983d91483a6Sfdy  complexNum := Mux(io.validFromIBuf(0) && readyCounter.orR ,
984d91483a6Sfdy    Mux(uopRes0 > readyCounter, readyCounter, uopRes0),
985d91483a6Sfdy    1.U)
986d91483a6Sfdy  validToRename.zipWithIndex.foreach{
987d91483a6Sfdy    case(dst, i) =>
988d91483a6Sfdy      dst := MuxCase(false.B, Seq(
989d91483a6Sfdy        (io.validFromIBuf(0) && uopRes0 > readyCounter   ) -> Mux(readyCounter > i.U, true.B, false.B),
990d91483a6Sfdy        (io.validFromIBuf(0) && !(uopRes0 > readyCounter)) -> Mux(complexNum > i.U, true.B, validSimple(i.U - complexNum) && notInfVec(i.U - complexNum) && io.readyFromRename(i)),
991d91483a6Sfdy      ))
992d91483a6Sfdy  }
993d91483a6Sfdy
994d91483a6Sfdy  readyToIBuf.zipWithIndex.foreach {
995d91483a6Sfdy    case (dst, i) =>
996d91483a6Sfdy      dst := MuxCase(true.B, Seq(
997d91483a6Sfdy        (io.validFromIBuf(0) && uopRes0 > readyCounter) -> false.B,
998d91483a6Sfdy        (io.validFromIBuf(0) && !(uopRes0 > readyCounter)) -> (if (i==0) true.B else Mux(RenameWidth.U - complexNum >= i.U, notInfVec(i - 1) && validSimple(i - 1) && io.readyFromRename(i), false.B)),
999d91483a6Sfdy      ))
1000d91483a6Sfdy  }
1001d91483a6Sfdy
1002d91483a6Sfdy  io.deq.decodedInsts := decodedInsts
1003d91483a6Sfdy  io.deq.isVset := isVset_u
1004d91483a6Sfdy  io.deq.complexNum := complexNum
1005d91483a6Sfdy  io.deq.validToRename := validToRename
1006d91483a6Sfdy  io.deq.readyToIBuf := readyToIBuf
1007d91483a6Sfdy
1008d91483a6Sfdy}
1009