xref: /XiangShan/src/main/scala/xiangshan/backend/decode/DecodeUnitComp.scala (revision 31c51290c44d25e0232fca8fc48907726d90f44e)
1d91483a6Sfdy/***************************************************************************************
2d91483a6Sfdy  * Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3d91483a6Sfdy  * Copyright (c) 2020-2021 Peng Cheng Laboratory
4d91483a6Sfdy  *
5d91483a6Sfdy  * XiangShan is licensed under Mulan PSL v2.
6d91483a6Sfdy  * You can use this software according to the terms and conditions of the Mulan PSL v2.
7d91483a6Sfdy  * You may obtain a copy of Mulan PSL v2 at:
8d91483a6Sfdy  *          http://license.coscl.org.cn/MulanPSL2
9d91483a6Sfdy  *
10d91483a6Sfdy  * THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11d91483a6Sfdy  * EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12d91483a6Sfdy  * MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13d91483a6Sfdy  *
14d91483a6Sfdy  * See the Mulan PSL v2 for more details.
15d91483a6Sfdy  ***************************************************************************************/
16d91483a6Sfdy
17d91483a6Sfdypackage xiangshan.backend.decode
18d91483a6Sfdy
1983ba63b3SXuan Huimport org.chipsalliance.cde.config.Parameters
20d91483a6Sfdyimport chisel3._
21d91483a6Sfdyimport chisel3.util._
22d91483a6Sfdyimport freechips.rocketchip.rocket.Instructions
23d91483a6Sfdyimport freechips.rocketchip.util.uintToBitPat
24d91483a6Sfdyimport utils._
25d91483a6Sfdyimport utility._
26d91483a6Sfdyimport xiangshan.ExceptionNO.illegalInstr
27d91483a6Sfdyimport xiangshan._
28d91483a6Sfdyimport xiangshan.backend.fu.fpu.FPU
29d91483a6Sfdyimport xiangshan.backend.fu.FuType
30d91483a6Sfdyimport freechips.rocketchip.rocket.Instructions._
31d91483a6Sfdyimport xiangshan.backend.Bundles.{DecodedInst, StaticInst}
3298cfe81bSxgkiriimport xiangshan.backend.decode.isa.bitfield.XSInstBitFields
33582849ffSxiaofeibao-xjtuimport xiangshan.backend.fu.vector.Bundles.{VSew, VType, VLmul}
34d91483a6Sfdyimport yunsuan.VpermType
35d91483a6Sfdyimport scala.collection.Seq
36c4501a6fSZiyue-Zhangimport chisel3.util.experimental.decode.{QMCMinimizer, TruthTable, decoder}
37c4501a6fSZiyue-Zhang
38c4501a6fSZiyue-Zhangclass indexedLSUopTable(uopIdx:Int) extends Module {
39c4501a6fSZiyue-Zhang  val src = IO(Input(UInt(7.W)))
40c4501a6fSZiyue-Zhang  val outOffsetVs2 = IO(Output(UInt(3.W)))
41c4501a6fSZiyue-Zhang  val outOffsetVd = IO(Output(UInt(3.W)))
427e0af973Szhanglinjuan  val outIsFirstUopInVd = IO(Output(Bool()))
437e0af973Szhanglinjuan  def genCsBundle_VEC_INDEXED_LDST(lmul:Int, emul:Int, nfields:Int, uopIdx:Int): (Int, Int, Int) ={
44c4501a6fSZiyue-Zhang    if (lmul * nfields <= 8) {
45c4501a6fSZiyue-Zhang      for (k <-0 until nfields) {
46c4501a6fSZiyue-Zhang        if (lmul < emul) {    // lmul < emul, uop num is depend on emul * nf
47c4501a6fSZiyue-Zhang          var offset = 1 << (emul - lmul)
48de785770Szhanglinjuan          for (i <- 0 until (1 << emul)) {
49de785770Szhanglinjuan            if (uopIdx == k * (1 << emul) + i) {
507e0af973Szhanglinjuan              return (i, i / offset + k * (1 << lmul), if (i % offset == 0) 1 else 0)
51c4501a6fSZiyue-Zhang            }
52c4501a6fSZiyue-Zhang          }
53c379dcbeSZiyue-Zhang        } else {              // lmul > emul, uop num is depend on lmul * nf
54c4501a6fSZiyue-Zhang          var offset = 1 << (lmul - emul)
55de785770Szhanglinjuan          for (i <- 0 until (1 << lmul)) {
56de785770Szhanglinjuan            if (uopIdx == k * (1 << lmul) + i) {
577e0af973Szhanglinjuan              return (i / offset, i + k * (1 << lmul), 1)
58c4501a6fSZiyue-Zhang            }
59c4501a6fSZiyue-Zhang          }
60c4501a6fSZiyue-Zhang        }
61c4501a6fSZiyue-Zhang      }
62c4501a6fSZiyue-Zhang    }
637e0af973Szhanglinjuan    return (0, 0, 1)
64c4501a6fSZiyue-Zhang  }
65c4501a6fSZiyue-Zhang  // strided load/store
667e0af973Szhanglinjuan  var combVemulNf : Seq[(Int, Int, Int, Int, Int, Int)] = Seq()
67c4501a6fSZiyue-Zhang  for (emul <- 0 until 4) {
68c4501a6fSZiyue-Zhang    for (lmul <- 0 until 4) {
69c4501a6fSZiyue-Zhang      for (nf <- 0 until 8) {
703cb76c96Szhanglinjuan        var offset = genCsBundle_VEC_INDEXED_LDST(lmul, emul, nf+1, uopIdx)
71c4501a6fSZiyue-Zhang        var offsetVs2 = offset._1
72c4501a6fSZiyue-Zhang        var offsetVd = offset._2
737e0af973Szhanglinjuan        var isFirstUopInVd = offset._3
747e0af973Szhanglinjuan        combVemulNf :+= (emul, lmul, nf, isFirstUopInVd, offsetVs2, offsetVd)
75c4501a6fSZiyue-Zhang      }
76c4501a6fSZiyue-Zhang    }
77c4501a6fSZiyue-Zhang  }
78c4501a6fSZiyue-Zhang  val out = decoder(QMCMinimizer, src, TruthTable(combVemulNf.map {
797e0af973Szhanglinjuan    case (emul, lmul, nf, isFirstUopInVd, offsetVs2, offsetVd) =>
807e0af973Szhanglinjuan      (BitPat((emul << 5 | lmul << 3 | nf).U(7.W)), BitPat((isFirstUopInVd << 6 | offsetVs2 << 3 | offsetVd).U(7.W)))
817e0af973Szhanglinjuan  }, BitPat.N(7)))
82c4501a6fSZiyue-Zhang  outOffsetVs2 := out(5, 3)
83c4501a6fSZiyue-Zhang  outOffsetVd := out(2, 0)
847e0af973Szhanglinjuan  outIsFirstUopInVd := out(6).asBool
85c4501a6fSZiyue-Zhang}
86d91483a6Sfdy
87d91483a6Sfdytrait VectorConstants {
88d91483a6Sfdy  val MAX_VLMUL = 8
89d91483a6Sfdy  val FP_TMP_REG_MV = 32
90189ec863SzhanglyGit  val VECTOR_TMP_REG_LMUL = 33 // 33~47  ->  15
91c4501a6fSZiyue-Zhang  val MAX_INDEXED_LS_UOPNUM = 64
92d91483a6Sfdy}
93d91483a6Sfdy
94d91483a6Sfdyclass DecodeUnitCompIO(implicit p: Parameters) extends XSBundle {
95e25c13faSXuan Hu  val redirect = Input(Bool())
96d91483a6Sfdy  val csrCtrl = Input(new CustomCSRCtrlIO)
97e25c13faSXuan Hu  // When the first inst in decode vector is complex inst, pass it in
98e25c13faSXuan Hu  val in = Flipped(DecoupledIO(new Bundle {
99e25c13faSXuan Hu    val simpleDecodedInst = new DecodedInst
100e25c13faSXuan Hu    val uopInfo = new UopInfo
101e25c13faSXuan Hu  }))
102e25c13faSXuan Hu  val out = new Bundle {
103e25c13faSXuan Hu    val complexDecodedInsts = Vec(RenameWidth, DecoupledIO(new DecodedInst))
104e25c13faSXuan Hu  }
105e25c13faSXuan Hu  val complexNum = Output(UInt(3.W))
106d91483a6Sfdy}
10717ec87f2SXuan Hu
108d91483a6Sfdy/**
109d91483a6Sfdy  * @author zly
110d91483a6Sfdy  */
111d91483a6Sfdyclass DecodeUnitComp()(implicit p : Parameters) extends XSModule with DecodeUnitConstants with VectorConstants {
112d91483a6Sfdy  val io = IO(new DecodeUnitCompIO)
113d91483a6Sfdy
114e25c13faSXuan Hu  // alias
115e25c13faSXuan Hu  private val inReady = io.in.ready
116e25c13faSXuan Hu  private val inValid = io.in.valid
117e25c13faSXuan Hu  private val inDecodedInst = WireInit(io.in.bits.simpleDecodedInst)
118229ab603SXuan Hu  private val inInstFields = io.in.bits.simpleDecodedInst.instr.asTypeOf(new XSInstBitFields)
119e25c13faSXuan Hu  private val inUopInfo = io.in.bits.uopInfo
120e25c13faSXuan Hu  private val outValids = io.out.complexDecodedInsts.map(_.valid)
121e25c13faSXuan Hu  private val outReadys = io.out.complexDecodedInsts.map(_.ready)
122e25c13faSXuan Hu  private val outDecodedInsts = io.out.complexDecodedInsts.map(_.bits)
123e25c13faSXuan Hu  private val outComplexNum = io.complexNum
124e25c13faSXuan Hu
125d91483a6Sfdy  val maxUopSize = MaxUopSize
126229ab603SXuan Hu  when (io.in.fire && io.in.bits.simpleDecodedInst.isVset) {
127229ab603SXuan Hu    when(inInstFields.RD === 0.U && inInstFields.RS1 === 0.U) {
128229ab603SXuan Hu      inDecodedInst.fuOpType := VSETOpType.keepVl(io.in.bits.simpleDecodedInst.fuOpType)
129229ab603SXuan Hu    }.elsewhen(inInstFields.RS1 === 0.U) {
130229ab603SXuan Hu      inDecodedInst.fuOpType := VSETOpType.setVlmax(io.in.bits.simpleDecodedInst.fuOpType)
131229ab603SXuan Hu    }
132229ab603SXuan Hu  }
133229ab603SXuan Hu
134e25c13faSXuan Hu  val latchedInst = RegEnable(inDecodedInst, inValid && inReady)
135e25c13faSXuan Hu  val latchedUopInfo = RegEnable(inUopInfo, inValid && inReady)
136d91483a6Sfdy  //input bits
137e25c13faSXuan Hu  private val instFields: XSInstBitFields = latchedInst.instr.asTypeOf(new XSInstBitFields)
138d91483a6Sfdy
139e25c13faSXuan Hu  val src1 = Cat(0.U(1.W), instFields.RS1)
140e25c13faSXuan Hu  val src2 = Cat(0.U(1.W), instFields.RS2)
141e25c13faSXuan Hu  val dest = Cat(0.U(1.W), instFields.RD)
1427f9f0a79SzhanglyGit
143e25c13faSXuan Hu  val nf    = instFields.NF
144e25c13faSXuan Hu  val width = instFields.WIDTH(1, 0)
145d91483a6Sfdy
146d91483a6Sfdy  //output of DecodeUnit
147e25c13faSXuan Hu  val numOfUop = Wire(UInt(log2Up(maxUopSize).W))
148e25c13faSXuan Hu  val numOfWB = Wire(UInt(log2Up(maxUopSize).W))
1497f9f0a79SzhanglyGit  val lmul = Wire(UInt(4.W))
150189ec863SzhanglyGit  val isVsetSimple = Wire(Bool())
151d91483a6Sfdy
152c4501a6fSZiyue-Zhang  val indexedLSRegOffset = Seq.tabulate(MAX_INDEXED_LS_UOPNUM)(i => Module(new indexedLSUopTable(i)))
153c4501a6fSZiyue-Zhang  indexedLSRegOffset.map(_.src := 0.U)
154c4501a6fSZiyue-Zhang
155d91483a6Sfdy  //pre decode
156e25c13faSXuan Hu  lmul := latchedUopInfo.lmul
157e25c13faSXuan Hu  isVsetSimple := latchedInst.isVset
158e25c13faSXuan Hu  val vlmulReg = latchedInst.vpu.vlmul
159e25c13faSXuan Hu  val vsewReg = latchedInst.vpu.vsew
160229ab603SXuan Hu
161d91483a6Sfdy  //Type of uop Div
162e25c13faSXuan Hu  val typeOfSplit = latchedInst.uopSplitType
163e25c13faSXuan Hu  val src1Type = latchedInst.srcType(0)
164d6059658SZiyue Zhang  val src1IsImm = src1Type === SrcType.imm
165d91483a6Sfdy
166e25c13faSXuan Hu  numOfUop := latchedUopInfo.numOfUop
167e25c13faSXuan Hu  numOfWB := latchedUopInfo.numOfWB
168e25c13faSXuan Hu
169e25c13faSXuan Hu  //uops dispatch
170e25c13faSXuan Hu  val s_idle :: s_active :: Nil = Enum(2)
171e25c13faSXuan Hu  val state = RegInit(s_idle)
172e25c13faSXuan Hu  val stateNext = WireDefault(state)
173e25c13faSXuan Hu  val numDecodedUop = RegInit(0.U(log2Up(maxUopSize).W))
174e25c13faSXuan Hu  val uopRes = RegInit(0.U(log2Up(maxUopSize).W))
175e25c13faSXuan Hu  val uopResNext = WireInit(uopRes)
1767f9f0a79SzhanglyGit
177d91483a6Sfdy  //uop div up to maxUopSize
178d91483a6Sfdy  val csBundle = Wire(Vec(maxUopSize, new DecodedInst))
179e25c13faSXuan Hu  csBundle.foreach { case dst =>
180e25c13faSXuan Hu    dst := latchedInst
181e25c13faSXuan Hu    dst.numUops := latchedUopInfo.numOfUop
182e25c13faSXuan Hu    dst.numWB := latchedUopInfo.numOfWB
183d91483a6Sfdy    dst.firstUop := false.B
184d91483a6Sfdy    dst.lastUop := false.B
185*31c51290Szhanglinjuan    dst.vlsInstr := false.B
186d91483a6Sfdy  }
187d91483a6Sfdy
188d91483a6Sfdy  csBundle(0).firstUop := true.B
189d91483a6Sfdy  csBundle(numOfUop - 1.U).lastUop := true.B
190d91483a6Sfdy
191189ec863SzhanglyGit  switch(typeOfSplit) {
192e25c13faSXuan Hu    is(UopSplitType.VSET) {
1934cdab2a9SXuan Hu      // In simple decoder, rfWen and vecWen are not set
194189ec863SzhanglyGit      when(isVsetSimple) {
1954cdab2a9SXuan Hu        // Default
1964cdab2a9SXuan Hu        // uop0 set rd, never flushPipe
197d91483a6Sfdy        csBundle(0).fuType := FuType.vsetiwi.U
198d91483a6Sfdy        csBundle(0).flushPipe := false.B
199d91483a6Sfdy        csBundle(0).rfWen := true.B
2004cdab2a9SXuan Hu        // uop1 set vl, vsetvl will flushPipe
201cb10a55bSXuan Hu        csBundle(1).ldest := VCONFIG_IDX.U
202fe60541bSXuan Hu        csBundle(1).vecWen := true.B
2034cdab2a9SXuan Hu        when(VSETOpType.isVsetvli(latchedInst.fuOpType) && dest === 0.U && src1 === 0.U) {
2044cdab2a9SXuan Hu          csBundle(1).fuType := FuType.vsetfwf.U
2054cdab2a9SXuan Hu          csBundle(1).srcType(0) := SrcType.vp
2064cdab2a9SXuan Hu          csBundle(1).lsrc(0) := VCONFIG_IDX.U
2074cdab2a9SXuan Hu        }.elsewhen(VSETOpType.isVsetvl(latchedInst.fuOpType) && dest === 0.U && src1 === 0.U) {
2084cdab2a9SXuan Hu          // uop0: mv vtype gpr to vector region
2094cdab2a9SXuan Hu          csBundle(0).srcType(0) := SrcType.xp
2104cdab2a9SXuan Hu          csBundle(0).srcType(1) := SrcType.no
211d91483a6Sfdy          csBundle(0).lsrc(1) := 0.U
212d91483a6Sfdy          csBundle(0).ldest := FP_TMP_REG_MV.U
213d91483a6Sfdy          csBundle(0).fuType := FuType.i2f.U
214d91483a6Sfdy          csBundle(0).fpWen := true.B
215d91483a6Sfdy          csBundle(0).fpu.isAddSub := false.B
216d91483a6Sfdy          csBundle(0).fpu.typeTagIn := FPU.D
217d91483a6Sfdy          csBundle(0).fpu.typeTagOut := FPU.D
218d91483a6Sfdy          csBundle(0).fpu.fromInt := true.B
219d91483a6Sfdy          csBundle(0).fpu.wflags := false.B
220d91483a6Sfdy          csBundle(0).fpu.fpWen := true.B
221d91483a6Sfdy          csBundle(0).fpu.div := false.B
222d91483a6Sfdy          csBundle(0).fpu.sqrt := false.B
223d91483a6Sfdy          csBundle(0).fpu.fcvt := false.B
224d91483a6Sfdy          csBundle(0).flushPipe := false.B
2254cdab2a9SXuan Hu          // uop1: uvsetvcfg_vv
226d91483a6Sfdy          csBundle(1).fuType := FuType.vsetfwf.U
2274cdab2a9SXuan Hu          // vl
228d91483a6Sfdy          csBundle(1).srcType(0) := SrcType.vp
229cb10a55bSXuan Hu          csBundle(1).lsrc(0) := VCONFIG_IDX.U
2304cdab2a9SXuan Hu          // vtype
231d91483a6Sfdy          csBundle(1).srcType(1) := SrcType.fp
232d91483a6Sfdy          csBundle(1).lsrc(1) := FP_TMP_REG_MV.U
2334cdab2a9SXuan Hu          csBundle(1).vecWen := true.B
234cb10a55bSXuan Hu          csBundle(1).ldest := VCONFIG_IDX.U
235d91483a6Sfdy        }
236d91483a6Sfdy      }
237d91483a6Sfdy    }
23817ec87f2SXuan Hu    is(UopSplitType.VEC_VVV) {
239d91483a6Sfdy      for (i <- 0 until MAX_VLMUL) {
240d91483a6Sfdy        csBundle(i).lsrc(0) := src1 + i.U
241d91483a6Sfdy        csBundle(i).lsrc(1) := src2 + i.U
242d91483a6Sfdy        csBundle(i).lsrc(2) := dest + i.U
243d91483a6Sfdy        csBundle(i).ldest := dest + i.U
244d91483a6Sfdy        csBundle(i).uopIdx := i.U
245d91483a6Sfdy      }
246d91483a6Sfdy    }
247684d7aceSxiaofeibao-xjtu    is(UopSplitType.VEC_VFV) {
248684d7aceSxiaofeibao-xjtu      for (i <- 0 until MAX_VLMUL) {
249684d7aceSxiaofeibao-xjtu        csBundle(i).lsrc(1) := src2 + i.U
250684d7aceSxiaofeibao-xjtu        csBundle(i).lsrc(2) := dest + i.U
251684d7aceSxiaofeibao-xjtu        csBundle(i).ldest := dest + i.U
252684d7aceSxiaofeibao-xjtu        csBundle(i).uopIdx := i.U
253684d7aceSxiaofeibao-xjtu      }
254684d7aceSxiaofeibao-xjtu    }
25517ec87f2SXuan Hu    is(UopSplitType.VEC_EXT2) {
256d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 2) {
257d91483a6Sfdy        csBundle(2 * i).lsrc(1) := src2 + i.U
258d91483a6Sfdy        csBundle(2 * i).lsrc(2) := dest + (2 * i).U
259d91483a6Sfdy        csBundle(2 * i).ldest := dest + (2 * i).U
260d91483a6Sfdy        csBundle(2 * i).uopIdx := (2 * i).U
261d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + i.U
262d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := dest + (2 * i + 1).U
263d91483a6Sfdy        csBundle(2 * i + 1).ldest := dest + (2 * i + 1).U
264d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i + 1).U
265d91483a6Sfdy      }
266d91483a6Sfdy    }
26717ec87f2SXuan Hu    is(UopSplitType.VEC_EXT4) {
268d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 4) {
269d91483a6Sfdy        csBundle(4 * i).lsrc(1) := src2 + i.U
270d91483a6Sfdy        csBundle(4 * i).lsrc(2) := dest + (4 * i).U
271d91483a6Sfdy        csBundle(4 * i).ldest := dest + (4 * i).U
272d91483a6Sfdy        csBundle(4 * i).uopIdx := (4 * i).U
273d91483a6Sfdy        csBundle(4 * i + 1).lsrc(1) := src2 + i.U
274d91483a6Sfdy        csBundle(4 * i + 1).lsrc(2) := dest + (4 * i + 1).U
275d91483a6Sfdy        csBundle(4 * i + 1).ldest := dest + (4 * i + 1).U
276d91483a6Sfdy        csBundle(4 * i + 1).uopIdx := (4 * i + 1).U
277d91483a6Sfdy        csBundle(4 * i + 2).lsrc(1) := src2 + i.U
278d91483a6Sfdy        csBundle(4 * i + 2).lsrc(2) := dest + (4 * i + 2).U
279d91483a6Sfdy        csBundle(4 * i + 2).ldest := dest + (4 * i + 2).U
280d91483a6Sfdy        csBundle(4 * i + 2).uopIdx := (4 * i + 2).U
281d91483a6Sfdy        csBundle(4 * i + 3).lsrc(1) := src2 + i.U
282d91483a6Sfdy        csBundle(4 * i + 3).lsrc(2) := dest + (4 * i + 3).U
283d91483a6Sfdy        csBundle(4 * i + 3).ldest := dest + (4 * i + 3).U
284d91483a6Sfdy        csBundle(4 * i + 3).uopIdx := (4 * i + 3).U
285d91483a6Sfdy      }
286d91483a6Sfdy    }
28717ec87f2SXuan Hu    is(UopSplitType.VEC_EXT8) {
288d91483a6Sfdy      for (i <- 0 until MAX_VLMUL) {
289d91483a6Sfdy        csBundle(i).lsrc(1) := src2
290d91483a6Sfdy        csBundle(i).lsrc(2) := dest + i.U
291d91483a6Sfdy        csBundle(i).ldest := dest + i.U
292d91483a6Sfdy        csBundle(i).uopIdx := i.U
293d91483a6Sfdy      }
294d91483a6Sfdy    }
29517ec87f2SXuan Hu    is(UopSplitType.VEC_0XV) {
296d91483a6Sfdy      /*
297d91483a6Sfdy      FMV.D.X
298d91483a6Sfdy       */
299d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
300d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
301d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
3027c67deccSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
3037c67deccSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
3047c67deccSZiyue Zhang      csBundle(0).fuOpType := Cat(IF2VectorType.i2Vec(2, 0), vsewReg)
305d91483a6Sfdy      csBundle(0).rfWen := false.B
3067c67deccSZiyue Zhang      csBundle(0).fpWen := false.B
3077c67deccSZiyue Zhang      csBundle(0).vecWen := true.B
308d91483a6Sfdy      /*
3097c67deccSZiyue Zhang      vmv.s.x
310d91483a6Sfdy       */
3117c67deccSZiyue Zhang      csBundle(1).srcType(0) := SrcType.vp
3127c67deccSZiyue Zhang      csBundle(1).srcType(1) := SrcType.imm
313d91483a6Sfdy      csBundle(1).srcType(2) := SrcType.vp
3147c67deccSZiyue Zhang      csBundle(1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
315d91483a6Sfdy      csBundle(1).lsrc(1) := 0.U
316d91483a6Sfdy      csBundle(1).lsrc(2) := dest
317d91483a6Sfdy      csBundle(1).ldest := dest
318d91483a6Sfdy      csBundle(1).rfWen := false.B
319d91483a6Sfdy      csBundle(1).fpWen := false.B
320d91483a6Sfdy      csBundle(1).vecWen := true.B
3217c67deccSZiyue Zhang      csBundle(1).uopIdx := 0.U
322d91483a6Sfdy    }
32317ec87f2SXuan Hu    is(UopSplitType.VEC_VXV) {
324d91483a6Sfdy      /*
325d6059658SZiyue Zhang      i to vector move
326d91483a6Sfdy       */
327d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
328d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
329d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
330fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
331fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
332b1712600SZiyue Zhang      csBundle(0).fuOpType := Cat(Mux(src1IsImm, IF2VectorType.immDup2Vec(2, 0), IF2VectorType.iDup2Vec(2, 0)), vsewReg)
333fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
334fc85f18fSZiyue Zhang      /*
335fc85f18fSZiyue Zhang      LMUL
336fc85f18fSZiyue Zhang       */
337fc85f18fSZiyue Zhang      for (i <- 0 until MAX_VLMUL) {
338fc85f18fSZiyue Zhang        csBundle(i + 1).srcType(0) := SrcType.vp
339fc85f18fSZiyue Zhang        csBundle(i + 1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
340d91483a6Sfdy        csBundle(i + 1).lsrc(1) := src2 + i.U
341d91483a6Sfdy        csBundle(i + 1).lsrc(2) := dest + i.U
342d91483a6Sfdy        csBundle(i + 1).ldest := dest + i.U
343d91483a6Sfdy        csBundle(i + 1).uopIdx := i.U
344d91483a6Sfdy      }
345d91483a6Sfdy    }
34617ec87f2SXuan Hu    is(UopSplitType.VEC_VVW) {
347d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 2) {
348d91483a6Sfdy        csBundle(2 * i).lsrc(0) := src1 + i.U
349d91483a6Sfdy        csBundle(2 * i).lsrc(1) := src2 + i.U
350d91483a6Sfdy        csBundle(2 * i).lsrc(2) := dest + (2 * i).U
351d91483a6Sfdy        csBundle(2 * i).ldest := dest + (2 * i).U
352d91483a6Sfdy        csBundle(2 * i).uopIdx := (2 * i).U
353d91483a6Sfdy        csBundle(2 * i + 1).lsrc(0) := src1 + i.U
354d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + i.U
355d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := dest + (2 * i + 1).U
356d91483a6Sfdy        csBundle(2 * i + 1).ldest := dest + (2 * i + 1).U
357d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i + 1).U
358d91483a6Sfdy      }
359d91483a6Sfdy    }
3603748ec56Sxiaofeibao-xjtu    is(UopSplitType.VEC_VFW) {
3613748ec56Sxiaofeibao-xjtu      for (i <- 0 until MAX_VLMUL / 2) {
3623748ec56Sxiaofeibao-xjtu        csBundle(2 * i).lsrc(0) := src1
3633748ec56Sxiaofeibao-xjtu        csBundle(2 * i).lsrc(1) := src2 + i.U
3643748ec56Sxiaofeibao-xjtu        csBundle(2 * i).lsrc(2) := dest + (2 * i).U
3653748ec56Sxiaofeibao-xjtu        csBundle(2 * i).ldest := dest + (2 * i).U
3663748ec56Sxiaofeibao-xjtu        csBundle(2 * i).uopIdx := (2 * i).U
3673748ec56Sxiaofeibao-xjtu        csBundle(2 * i + 1).lsrc(0) := src1
3683748ec56Sxiaofeibao-xjtu        csBundle(2 * i + 1).lsrc(1) := src2 + i.U
3693748ec56Sxiaofeibao-xjtu        csBundle(2 * i + 1).lsrc(2) := dest + (2 * i + 1).U
3703748ec56Sxiaofeibao-xjtu        csBundle(2 * i + 1).ldest := dest + (2 * i + 1).U
3713748ec56Sxiaofeibao-xjtu        csBundle(2 * i + 1).uopIdx := (2 * i + 1).U
3723748ec56Sxiaofeibao-xjtu      }
3733748ec56Sxiaofeibao-xjtu    }
37417ec87f2SXuan Hu    is(UopSplitType.VEC_WVW) {
375d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 2) {
376d91483a6Sfdy        csBundle(2 * i).lsrc(0) := src1 + i.U
377d91483a6Sfdy        csBundle(2 * i).lsrc(1) := src2 + (2 * i).U
378d91483a6Sfdy        csBundle(2 * i).lsrc(2) := dest + (2 * i).U
379d91483a6Sfdy        csBundle(2 * i).ldest := dest + (2 * i).U
380d91483a6Sfdy        csBundle(2 * i).uopIdx := (2 * i).U
381d91483a6Sfdy        csBundle(2 * i + 1).lsrc(0) := src1 + i.U
382d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + (2 * i + 1).U
383d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := dest + (2 * i + 1).U
384d91483a6Sfdy        csBundle(2 * i + 1).ldest := dest + (2 * i + 1).U
385d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i + 1).U
386d91483a6Sfdy      }
387d91483a6Sfdy    }
38817ec87f2SXuan Hu    is(UopSplitType.VEC_VXW) {
389d91483a6Sfdy      /*
390d6059658SZiyue Zhang      i to vector move
391d91483a6Sfdy       */
392d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
393d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
394d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
395fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
396fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
397b1712600SZiyue Zhang      csBundle(0).fuOpType := Cat(IF2VectorType.iDup2Vec(2, 0), vsewReg)
398fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
399d91483a6Sfdy
400d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 2) {
401fc85f18fSZiyue Zhang        csBundle(2 * i + 1).srcType(0) := SrcType.vp
402fc85f18fSZiyue Zhang        csBundle(2 * i + 1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
403d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + i.U
404d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := dest + (2 * i).U
405d91483a6Sfdy        csBundle(2 * i + 1).ldest := dest + (2 * i).U
406d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i).U
407fc85f18fSZiyue Zhang        csBundle(2 * i + 2).srcType(0) := SrcType.vp
408fc85f18fSZiyue Zhang        csBundle(2 * i + 2).lsrc(0) := VECTOR_TMP_REG_LMUL.U
409d91483a6Sfdy        csBundle(2 * i + 2).lsrc(1) := src2 + i.U
410d91483a6Sfdy        csBundle(2 * i + 2).lsrc(2) := dest + (2 * i + 1).U
411d91483a6Sfdy        csBundle(2 * i + 2).ldest := dest + (2 * i + 1).U
412d91483a6Sfdy        csBundle(2 * i + 2).uopIdx := (2 * i + 1).U
413d91483a6Sfdy      }
414d91483a6Sfdy    }
41517ec87f2SXuan Hu    is(UopSplitType.VEC_WXW) {
416d91483a6Sfdy      /*
417d6059658SZiyue Zhang      i to vector move
418d91483a6Sfdy       */
419d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
420d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
421d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
422fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
423fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
424b1712600SZiyue Zhang      csBundle(0).fuOpType := Cat(IF2VectorType.iDup2Vec(2, 0), vsewReg)
425fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
426d91483a6Sfdy
427d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 2) {
428fc85f18fSZiyue Zhang        csBundle(2 * i + 1).srcType(0) := SrcType.vp
429fc85f18fSZiyue Zhang        csBundle(2 * i + 1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
430d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + (2 * i).U
431d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := dest + (2 * i).U
432d91483a6Sfdy        csBundle(2 * i + 1).ldest := dest + (2 * i).U
433d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i).U
434fc85f18fSZiyue Zhang        csBundle(2 * i + 2).srcType(0) := SrcType.vp
435fc85f18fSZiyue Zhang        csBundle(2 * i + 2).lsrc(0) := VECTOR_TMP_REG_LMUL.U
436d91483a6Sfdy        csBundle(2 * i + 2).lsrc(1) := src2 + (2 * i + 1).U
437d91483a6Sfdy        csBundle(2 * i + 2).lsrc(2) := dest + (2 * i + 1).U
438d91483a6Sfdy        csBundle(2 * i + 2).ldest := dest + (2 * i + 1).U
439d91483a6Sfdy        csBundle(2 * i + 2).uopIdx := (2 * i + 1).U
440d91483a6Sfdy      }
441d91483a6Sfdy    }
44217ec87f2SXuan Hu    is(UopSplitType.VEC_WVV) {
443d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 2) {
444d91483a6Sfdy
445d91483a6Sfdy        csBundle(2 * i).lsrc(0) := src1 + i.U
446d91483a6Sfdy        csBundle(2 * i).lsrc(1) := src2 + (2 * i).U
447d91483a6Sfdy        csBundle(2 * i).lsrc(2) := dest + i.U
448d6f9198fSXuan Hu        csBundle(2 * i).ldest := dest + i.U
449d91483a6Sfdy        csBundle(2 * i).uopIdx := (2 * i).U
450d91483a6Sfdy        csBundle(2 * i + 1).lsrc(0) := src1 + i.U
451d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + (2 * i + 1).U
452d6f9198fSXuan Hu        csBundle(2 * i + 1).lsrc(2) := dest + i.U
453d91483a6Sfdy        csBundle(2 * i + 1).ldest := dest + i.U
454d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i + 1).U
455d91483a6Sfdy      }
456d91483a6Sfdy    }
4573748ec56Sxiaofeibao-xjtu    is(UopSplitType.VEC_WFW) {
4583748ec56Sxiaofeibao-xjtu      for (i <- 0 until MAX_VLMUL / 2) {
4593748ec56Sxiaofeibao-xjtu        csBundle(2 * i).lsrc(0) := src1
4603748ec56Sxiaofeibao-xjtu        csBundle(2 * i).lsrc(1) := src2 + (2 * i).U
4613748ec56Sxiaofeibao-xjtu        csBundle(2 * i).lsrc(2) := dest + (2 * i).U
4623748ec56Sxiaofeibao-xjtu        csBundle(2 * i).ldest := dest + (2 * i).U
4633748ec56Sxiaofeibao-xjtu        csBundle(2 * i).uopIdx := (2 * i).U
4643748ec56Sxiaofeibao-xjtu        csBundle(2 * i + 1).lsrc(0) := src1
4653748ec56Sxiaofeibao-xjtu        csBundle(2 * i + 1).lsrc(1) := src2 + (2 * i + 1).U
4663748ec56Sxiaofeibao-xjtu        csBundle(2 * i + 1).lsrc(2) := dest + (2 * i + 1).U
4673748ec56Sxiaofeibao-xjtu        csBundle(2 * i + 1).ldest := dest + (2 * i + 1).U
4683748ec56Sxiaofeibao-xjtu        csBundle(2 * i + 1).uopIdx := (2 * i + 1).U
4693748ec56Sxiaofeibao-xjtu      }
4703748ec56Sxiaofeibao-xjtu    }
47117ec87f2SXuan Hu    is(UopSplitType.VEC_WXV) {
472d91483a6Sfdy      /*
473d6059658SZiyue Zhang      i to vector move
474d91483a6Sfdy       */
475d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
476d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
477d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
478fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
479fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
480b1712600SZiyue Zhang      csBundle(0).fuOpType := Cat(Mux(src1IsImm, IF2VectorType.immDup2Vec(2, 0), IF2VectorType.iDup2Vec(2, 0)), vsewReg)
481fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
482d91483a6Sfdy
483d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 2) {
484fc85f18fSZiyue Zhang        csBundle(2 * i + 1).srcType(0) := SrcType.vp
485fc85f18fSZiyue Zhang        csBundle(2 * i + 1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
486d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + (2 * i).U
487d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := dest + i.U
488d6f9198fSXuan Hu        csBundle(2 * i + 1).ldest := dest + i.U
489d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i).U
490fc85f18fSZiyue Zhang        csBundle(2 * i + 2).srcType(0) := SrcType.vp
491fc85f18fSZiyue Zhang        csBundle(2 * i + 2).lsrc(0) := VECTOR_TMP_REG_LMUL.U
492d91483a6Sfdy        csBundle(2 * i + 2).lsrc(1) := src2 + (2 * i + 1).U
493d6f9198fSXuan Hu        csBundle(2 * i + 2).lsrc(2) := dest + i.U
494d91483a6Sfdy        csBundle(2 * i + 2).ldest := dest + i.U
495d91483a6Sfdy        csBundle(2 * i + 2).uopIdx := (2 * i + 1).U
496d91483a6Sfdy      }
497d91483a6Sfdy    }
49817ec87f2SXuan Hu    is(UopSplitType.VEC_VVM) {
499d91483a6Sfdy      csBundle(0).lsrc(2) := dest
500d6f9198fSXuan Hu      csBundle(0).ldest := dest
501d91483a6Sfdy      csBundle(0).uopIdx := 0.U
502d91483a6Sfdy      for (i <- 1 until MAX_VLMUL) {
503d91483a6Sfdy        csBundle(i).lsrc(0) := src1 + i.U
504d91483a6Sfdy        csBundle(i).lsrc(1) := src2 + i.U
505d6f9198fSXuan Hu        csBundle(i).lsrc(2) := dest
506d6f9198fSXuan Hu        csBundle(i).ldest := dest
507d91483a6Sfdy        csBundle(i).uopIdx := i.U
508d91483a6Sfdy      }
509d91483a6Sfdy    }
510f06d6d60Sxiaofeibao-xjtu    is(UopSplitType.VEC_VFM) {
511f06d6d60Sxiaofeibao-xjtu      csBundle(0).lsrc(2) := dest
512f06d6d60Sxiaofeibao-xjtu      csBundle(0).ldest := dest
513f06d6d60Sxiaofeibao-xjtu      csBundle(0).uopIdx := 0.U
514f06d6d60Sxiaofeibao-xjtu      for (i <- 1 until MAX_VLMUL) {
515f06d6d60Sxiaofeibao-xjtu        csBundle(i).lsrc(0) := src1
516f06d6d60Sxiaofeibao-xjtu        csBundle(i).lsrc(1) := src2 + i.U
517f06d6d60Sxiaofeibao-xjtu        csBundle(i).lsrc(2) := dest
518f06d6d60Sxiaofeibao-xjtu        csBundle(i).ldest := dest
519f06d6d60Sxiaofeibao-xjtu        csBundle(i).uopIdx := i.U
520f06d6d60Sxiaofeibao-xjtu      }
521f06d6d60Sxiaofeibao-xjtu      csBundle(numOfUop - 1.U).ldest := dest
522f06d6d60Sxiaofeibao-xjtu    }
52317ec87f2SXuan Hu    is(UopSplitType.VEC_VXM) {
524d91483a6Sfdy      /*
525d6059658SZiyue Zhang      i to vector move
526d91483a6Sfdy       */
527d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
528d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
529d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
530fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
531fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
532b1712600SZiyue Zhang      csBundle(0).fuOpType := Cat(Mux(src1IsImm, IF2VectorType.immDup2Vec(2, 0), IF2VectorType.iDup2Vec(2, 0)), vsewReg)
533fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
534d91483a6Sfdy      //LMUL
535fc85f18fSZiyue Zhang      csBundle(1).srcType(0) := SrcType.vp
536fc85f18fSZiyue Zhang      csBundle(1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
537d91483a6Sfdy      csBundle(1).lsrc(2) := dest
538d6f9198fSXuan Hu      csBundle(1).ldest := dest
539d91483a6Sfdy      csBundle(1).uopIdx := 0.U
540d91483a6Sfdy      for (i <- 1 until MAX_VLMUL) {
541fc85f18fSZiyue Zhang        csBundle(i + 1).srcType(0) := SrcType.vp
542fc85f18fSZiyue Zhang        csBundle(i + 1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
543d91483a6Sfdy        csBundle(i + 1).lsrc(1) := src2 + i.U
544d6f9198fSXuan Hu        csBundle(i + 1).lsrc(2) := dest
545d6f9198fSXuan Hu        csBundle(i + 1).ldest := dest
546d91483a6Sfdy        csBundle(i + 1).uopIdx := i.U
547d91483a6Sfdy      }
548d91483a6Sfdy      csBundle(numOfUop - 1.U).ldest := dest
549d91483a6Sfdy    }
55017ec87f2SXuan Hu    is(UopSplitType.VEC_SLIDE1UP) {
551d91483a6Sfdy      /*
552d6059658SZiyue Zhang      i to vector move
553d91483a6Sfdy       */
554d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
555d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
556d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
557fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
558fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
559b8505463SZiyue Zhang      csBundle(0).fuOpType := Cat(IF2VectorType.iDup2Vec(2, 0), vsewReg)
560fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
561d91483a6Sfdy      //LMUL
562fc85f18fSZiyue Zhang      csBundle(1).srcType(0) := SrcType.vp
563fc85f18fSZiyue Zhang      csBundle(1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
564d91483a6Sfdy      csBundle(1).lsrc(2) := dest
565d91483a6Sfdy      csBundle(1).ldest := dest
566d91483a6Sfdy      csBundle(1).uopIdx := 0.U
567d91483a6Sfdy      for (i <- 1 until MAX_VLMUL) {
568d91483a6Sfdy        csBundle(i + 1).srcType(0) := SrcType.vp
569d91483a6Sfdy        csBundle(i + 1).lsrc(0) := src2 + (i - 1).U
570d91483a6Sfdy        csBundle(i + 1).lsrc(1) := src2 + i.U
571d91483a6Sfdy        csBundle(i + 1).lsrc(2) := dest + i.U
572d91483a6Sfdy        csBundle(i + 1).ldest := dest + i.U
573d91483a6Sfdy        csBundle(i + 1).uopIdx := i.U
574d91483a6Sfdy      }
575d91483a6Sfdy    }
57617ec87f2SXuan Hu    is(UopSplitType.VEC_FSLIDE1UP) {
577d91483a6Sfdy      //LMUL
578d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.fp
579d91483a6Sfdy      csBundle(0).lsrc(0) := src1
580d91483a6Sfdy      csBundle(0).lsrc(1) := src2
581d91483a6Sfdy      csBundle(0).lsrc(2) := dest
582d91483a6Sfdy      csBundle(0).ldest := dest
583d91483a6Sfdy      csBundle(0).uopIdx := 0.U
584d91483a6Sfdy      for (i <- 1 until MAX_VLMUL) {
585d91483a6Sfdy        csBundle(i).srcType(0) := SrcType.vp
586d91483a6Sfdy        csBundle(i).lsrc(0) := src2 + (i - 1).U
587d91483a6Sfdy        csBundle(i).lsrc(1) := src2 + i.U
588d91483a6Sfdy        csBundle(i).lsrc(2) := dest + i.U
589d91483a6Sfdy        csBundle(i).ldest := dest + i.U
590d91483a6Sfdy        csBundle(i).uopIdx := i.U
591d91483a6Sfdy      }
592d91483a6Sfdy    }
59317ec87f2SXuan Hu    is(UopSplitType.VEC_SLIDE1DOWN) { // lmul+lmul = 16
594d91483a6Sfdy      /*
595d6059658SZiyue Zhang      i to vector move
596d91483a6Sfdy       */
597d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
598d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
599d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
600fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
601fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
602b8505463SZiyue Zhang      csBundle(0).fuOpType := Cat(IF2VectorType.iDup2Vec(2, 0), vsewReg)
603fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
604d91483a6Sfdy      //LMUL
605d91483a6Sfdy      for (i <- 0 until MAX_VLMUL) {
606d91483a6Sfdy        csBundle(2 * i + 1).srcType(0) := SrcType.vp
607d91483a6Sfdy        csBundle(2 * i + 1).srcType(1) := SrcType.vp
608d91483a6Sfdy        csBundle(2 * i + 1).lsrc(0) := src2 + (i + 1).U
609d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + i.U
610d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := dest + i.U
611fc85f18fSZiyue Zhang        csBundle(2 * i + 1).ldest := VECTOR_TMP_REG_LMUL.U + 1.U
612d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i).U
613d91483a6Sfdy        if (2 * i + 2 < MAX_VLMUL * 2) {
614fc85f18fSZiyue Zhang          csBundle(2 * i + 2).srcType(0) := SrcType.vp
615fc85f18fSZiyue Zhang          csBundle(2 * i + 2).lsrc(0) := VECTOR_TMP_REG_LMUL.U
616d91483a6Sfdy          // csBundle(2 * i + 2).lsrc(1) := src2 + i.U         // DontCare
617fc85f18fSZiyue Zhang          csBundle(2 * i + 2).lsrc(2) := VECTOR_TMP_REG_LMUL.U + 1.U
618d91483a6Sfdy          csBundle(2 * i + 2).ldest := dest + i.U
619d91483a6Sfdy          csBundle(2 * i + 2).uopIdx := (2 * i + 1).U
620d91483a6Sfdy        }
621d91483a6Sfdy      }
6228cbcda9aSZiyue Zhang      csBundle(numOfUop - 1.U).srcType(0) := SrcType.vp
6238cbcda9aSZiyue Zhang      csBundle(numOfUop - 1.U).lsrc(0) := VECTOR_TMP_REG_LMUL.U
624d91483a6Sfdy      csBundle(numOfUop - 1.U).ldest := dest + lmul - 1.U
625d91483a6Sfdy    }
62617ec87f2SXuan Hu    is(UopSplitType.VEC_FSLIDE1DOWN) {
627d91483a6Sfdy      //LMUL
628d91483a6Sfdy      for (i <- 0 until MAX_VLMUL) {
629d91483a6Sfdy        csBundle(2 * i).srcType(0) := SrcType.vp
630d91483a6Sfdy        csBundle(2 * i).srcType(1) := SrcType.vp
631d91483a6Sfdy        csBundle(2 * i).lsrc(0) := src2 + (i + 1).U
632d91483a6Sfdy        csBundle(2 * i).lsrc(1) := src2 + i.U
633d91483a6Sfdy        csBundle(2 * i).lsrc(2) := dest + i.U
634d91483a6Sfdy        csBundle(2 * i).ldest := VECTOR_TMP_REG_LMUL.U
635d91483a6Sfdy        csBundle(2 * i).uopIdx := (2 * i).U
636d91483a6Sfdy        csBundle(2 * i + 1).srcType(0) := SrcType.fp
637d91483a6Sfdy        csBundle(2 * i + 1).lsrc(0) := src1
638d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := VECTOR_TMP_REG_LMUL.U
639d91483a6Sfdy        csBundle(2 * i + 1).ldest := dest + i.U
640d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i + 1).U
641d91483a6Sfdy      }
642d91483a6Sfdy      csBundle(numOfUop - 1.U).srcType(0) := SrcType.fp
643d91483a6Sfdy      csBundle(numOfUop - 1.U).lsrc(0) := src1
644d91483a6Sfdy      csBundle(numOfUop - 1.U).ldest := dest + lmul - 1.U
645d91483a6Sfdy    }
64617ec87f2SXuan Hu    is(UopSplitType.VEC_VRED) {
647aaa08c5aSxiaofeibao-xjtu      when(vlmulReg === "b001".U) {
648d91483a6Sfdy        csBundle(0).srcType(2) := SrcType.DC
649d91483a6Sfdy        csBundle(0).lsrc(0) := src2 + 1.U
650d91483a6Sfdy        csBundle(0).lsrc(1) := src2
651d91483a6Sfdy        csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
652d91483a6Sfdy        csBundle(0).uopIdx := 0.U
653d91483a6Sfdy      }
654aaa08c5aSxiaofeibao-xjtu      when(vlmulReg === "b010".U) {
655d91483a6Sfdy        csBundle(0).srcType(2) := SrcType.DC
656d91483a6Sfdy        csBundle(0).lsrc(0) := src2 + 1.U
657d91483a6Sfdy        csBundle(0).lsrc(1) := src2
658d91483a6Sfdy        csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
659d91483a6Sfdy        csBundle(0).uopIdx := 0.U
660d91483a6Sfdy
661d91483a6Sfdy        csBundle(1).srcType(2) := SrcType.DC
662d91483a6Sfdy        csBundle(1).lsrc(0) := src2 + 3.U
663d91483a6Sfdy        csBundle(1).lsrc(1) := src2 + 2.U
664d91483a6Sfdy        csBundle(1).ldest := (VECTOR_TMP_REG_LMUL + 1).U
665d91483a6Sfdy        csBundle(1).uopIdx := 1.U
666d91483a6Sfdy
667d91483a6Sfdy        csBundle(2).srcType(2) := SrcType.DC
668d91483a6Sfdy        csBundle(2).lsrc(0) := (VECTOR_TMP_REG_LMUL + 1).U
669d91483a6Sfdy        csBundle(2).lsrc(1) := VECTOR_TMP_REG_LMUL.U
670d91483a6Sfdy        csBundle(2).ldest := (VECTOR_TMP_REG_LMUL + 2).U
671d91483a6Sfdy        csBundle(2).uopIdx := 2.U
672d91483a6Sfdy      }
673aaa08c5aSxiaofeibao-xjtu      when(vlmulReg === "b011".U) {
674d91483a6Sfdy        for (i <- 0 until MAX_VLMUL) {
675d91483a6Sfdy          if (i < MAX_VLMUL - MAX_VLMUL / 2) {
676d91483a6Sfdy            csBundle(i).lsrc(0) := src2 + (i * 2 + 1).U
677d91483a6Sfdy            csBundle(i).lsrc(1) := src2 + (i * 2).U
678d91483a6Sfdy            csBundle(i).ldest := (VECTOR_TMP_REG_LMUL + i).U
679d91483a6Sfdy          } else if (i < MAX_VLMUL - MAX_VLMUL / 4) {
680d91483a6Sfdy            csBundle(i).lsrc(0) := (VECTOR_TMP_REG_LMUL + (i - MAX_VLMUL / 2) * 2 + 1).U
681d91483a6Sfdy            csBundle(i).lsrc(1) := (VECTOR_TMP_REG_LMUL + (i - MAX_VLMUL / 2) * 2).U
682d91483a6Sfdy            csBundle(i).ldest := (VECTOR_TMP_REG_LMUL + i).U
683d91483a6Sfdy          } else if (i < MAX_VLMUL - MAX_VLMUL / 8) {
684d91483a6Sfdy            csBundle(6).lsrc(0) := (VECTOR_TMP_REG_LMUL + 5).U
685d91483a6Sfdy            csBundle(6).lsrc(1) := (VECTOR_TMP_REG_LMUL + 4).U
686d91483a6Sfdy            csBundle(6).ldest := (VECTOR_TMP_REG_LMUL + 6).U
687d91483a6Sfdy          }
688d91483a6Sfdy          csBundle(i).srcType(2) := SrcType.DC
689d91483a6Sfdy          csBundle(i).uopIdx := i.U
690d91483a6Sfdy        }
691d91483a6Sfdy      }
692caa15984SZiyue Zhang      when(vlmulReg(2) === 0.U && vlmulReg(1, 0).orR) {
693caa15984SZiyue Zhang        /*
694caa15984SZiyue Zhang         * 2 <= vlmul <= 8
695caa15984SZiyue Zhang         */
696d91483a6Sfdy        csBundle(numOfUop - 1.U).srcType(2) := SrcType.vp
697d91483a6Sfdy        csBundle(numOfUop - 1.U).lsrc(0) := src1
698d91483a6Sfdy        csBundle(numOfUop - 1.U).lsrc(1) := VECTOR_TMP_REG_LMUL.U + numOfUop - 2.U
699d91483a6Sfdy        csBundle(numOfUop - 1.U).lsrc(2) := dest
700d91483a6Sfdy        csBundle(numOfUop - 1.U).ldest := dest
701d91483a6Sfdy        csBundle(numOfUop - 1.U).uopIdx := numOfUop - 1.U
702d91483a6Sfdy      }
703d91483a6Sfdy    }
704582849ffSxiaofeibao-xjtu    is(UopSplitType.VEC_VFRED) {
705aaa08c5aSxiaofeibao-xjtu      val vlmul = vlmulReg
706aaa08c5aSxiaofeibao-xjtu      val vsew = vsewReg
707582849ffSxiaofeibao-xjtu      when(vlmul === VLmul.m8){
708582849ffSxiaofeibao-xjtu        for (i <- 0 until 4) {
709582849ffSxiaofeibao-xjtu          csBundle(i).lsrc(0) := src2 + (i * 2 + 1).U
710582849ffSxiaofeibao-xjtu          csBundle(i).lsrc(1) := src2 + (i * 2).U
711582849ffSxiaofeibao-xjtu          csBundle(i).ldest := (VECTOR_TMP_REG_LMUL + i).U
712582849ffSxiaofeibao-xjtu          csBundle(i).uopIdx := i.U
713582849ffSxiaofeibao-xjtu        }
714582849ffSxiaofeibao-xjtu        for (i <- 4 until 6) {
715582849ffSxiaofeibao-xjtu          csBundle(i).lsrc(0) := (VECTOR_TMP_REG_LMUL + (i - 4) * 2 + 1).U
716582849ffSxiaofeibao-xjtu          csBundle(i).lsrc(1) := (VECTOR_TMP_REG_LMUL + (i - 4) * 2).U
717582849ffSxiaofeibao-xjtu          csBundle(i).ldest := (VECTOR_TMP_REG_LMUL + i).U
718582849ffSxiaofeibao-xjtu          csBundle(i).uopIdx := i.U
719582849ffSxiaofeibao-xjtu        }
720582849ffSxiaofeibao-xjtu        csBundle(6).lsrc(0) := (VECTOR_TMP_REG_LMUL + 5).U
721582849ffSxiaofeibao-xjtu        csBundle(6).lsrc(1) := (VECTOR_TMP_REG_LMUL + 4).U
722582849ffSxiaofeibao-xjtu        csBundle(6).ldest := (VECTOR_TMP_REG_LMUL + 6).U
723582849ffSxiaofeibao-xjtu        csBundle(6).uopIdx := 6.U
724582849ffSxiaofeibao-xjtu        when(vsew === VSew.e64) {
725582849ffSxiaofeibao-xjtu          csBundle(7).lsrc(0) := (VECTOR_TMP_REG_LMUL + 6).U
726582849ffSxiaofeibao-xjtu          csBundle(7).lsrc(1) := (VECTOR_TMP_REG_LMUL + 6).U
727582849ffSxiaofeibao-xjtu          csBundle(7).ldest := (VECTOR_TMP_REG_LMUL + 7).U
728582849ffSxiaofeibao-xjtu          csBundle(7).vpu.fpu.isFoldTo1_2 := true.B
729582849ffSxiaofeibao-xjtu          csBundle(7).uopIdx := 7.U
730582849ffSxiaofeibao-xjtu          csBundle(8).lsrc(0) := src1
731582849ffSxiaofeibao-xjtu          csBundle(8).lsrc(1) := (VECTOR_TMP_REG_LMUL + 7).U
732582849ffSxiaofeibao-xjtu          csBundle(8).ldest := dest
733582849ffSxiaofeibao-xjtu          csBundle(8).uopIdx := 8.U
734582849ffSxiaofeibao-xjtu        }
735582849ffSxiaofeibao-xjtu        when(vsew === VSew.e32) {
736582849ffSxiaofeibao-xjtu          csBundle(7).lsrc(0) := (VECTOR_TMP_REG_LMUL + 6).U
737582849ffSxiaofeibao-xjtu          csBundle(7).lsrc(1) := (VECTOR_TMP_REG_LMUL + 6).U
738582849ffSxiaofeibao-xjtu          csBundle(7).ldest := (VECTOR_TMP_REG_LMUL + 7).U
739582849ffSxiaofeibao-xjtu          csBundle(7).vpu.fpu.isFoldTo1_2 := true.B
740582849ffSxiaofeibao-xjtu          csBundle(7).uopIdx := 7.U
741582849ffSxiaofeibao-xjtu          csBundle(8).lsrc(0) := (VECTOR_TMP_REG_LMUL + 7).U
742582849ffSxiaofeibao-xjtu          csBundle(8).lsrc(1) := (VECTOR_TMP_REG_LMUL + 7).U
743582849ffSxiaofeibao-xjtu          csBundle(8).ldest := (VECTOR_TMP_REG_LMUL + 8).U
744582849ffSxiaofeibao-xjtu          csBundle(8).vpu.fpu.isFoldTo1_4 := true.B
745582849ffSxiaofeibao-xjtu          csBundle(8).uopIdx := 8.U
746582849ffSxiaofeibao-xjtu          csBundle(9).lsrc(0) := src1
747582849ffSxiaofeibao-xjtu          csBundle(9).lsrc(1) := (VECTOR_TMP_REG_LMUL + 8).U
748582849ffSxiaofeibao-xjtu          csBundle(9).ldest := dest
749582849ffSxiaofeibao-xjtu          csBundle(9).uopIdx := 9.U
750582849ffSxiaofeibao-xjtu        }
751582849ffSxiaofeibao-xjtu        when(vsew === VSew.e16) {
752582849ffSxiaofeibao-xjtu          csBundle(7).lsrc(0) := (VECTOR_TMP_REG_LMUL + 6).U
753582849ffSxiaofeibao-xjtu          csBundle(7).lsrc(1) := (VECTOR_TMP_REG_LMUL + 6).U
754582849ffSxiaofeibao-xjtu          csBundle(7).ldest := (VECTOR_TMP_REG_LMUL + 7).U
755582849ffSxiaofeibao-xjtu          csBundle(7).vpu.fpu.isFoldTo1_2 := true.B
756582849ffSxiaofeibao-xjtu          csBundle(7).uopIdx := 7.U
757582849ffSxiaofeibao-xjtu          csBundle(8).lsrc(0) := (VECTOR_TMP_REG_LMUL + 7).U
758582849ffSxiaofeibao-xjtu          csBundle(8).lsrc(1) := (VECTOR_TMP_REG_LMUL + 7).U
759582849ffSxiaofeibao-xjtu          csBundle(8).ldest := (VECTOR_TMP_REG_LMUL + 8).U
760582849ffSxiaofeibao-xjtu          csBundle(8).vpu.fpu.isFoldTo1_4 := true.B
761582849ffSxiaofeibao-xjtu          csBundle(8).uopIdx := 8.U
762582849ffSxiaofeibao-xjtu          csBundle(9).lsrc(0) := (VECTOR_TMP_REG_LMUL + 8).U
763582849ffSxiaofeibao-xjtu          csBundle(9).lsrc(1) := (VECTOR_TMP_REG_LMUL + 8).U
764582849ffSxiaofeibao-xjtu          csBundle(9).ldest := (VECTOR_TMP_REG_LMUL + 9).U
765582849ffSxiaofeibao-xjtu          csBundle(9).vpu.fpu.isFoldTo1_8 := true.B
766582849ffSxiaofeibao-xjtu          csBundle(9).uopIdx := 9.U
767582849ffSxiaofeibao-xjtu          csBundle(10).lsrc(0) := src1
768582849ffSxiaofeibao-xjtu          csBundle(10).lsrc(1) := (VECTOR_TMP_REG_LMUL + 9).U
769582849ffSxiaofeibao-xjtu          csBundle(10).ldest := dest
770582849ffSxiaofeibao-xjtu          csBundle(10).uopIdx := 10.U
771582849ffSxiaofeibao-xjtu        }
772582849ffSxiaofeibao-xjtu      }
773582849ffSxiaofeibao-xjtu      when(vlmul === VLmul.m4) {
774582849ffSxiaofeibao-xjtu        for (i <- 0 until 2) {
775582849ffSxiaofeibao-xjtu          csBundle(i).lsrc(0) := src2 + (i * 2 + 1).U
776582849ffSxiaofeibao-xjtu          csBundle(i).lsrc(1) := src2 + (i * 2).U
777582849ffSxiaofeibao-xjtu          csBundle(i).ldest := (VECTOR_TMP_REG_LMUL + i).U
778582849ffSxiaofeibao-xjtu          csBundle(i).uopIdx := i.U
779582849ffSxiaofeibao-xjtu        }
780582849ffSxiaofeibao-xjtu        csBundle(2).lsrc(0) := (VECTOR_TMP_REG_LMUL + 1).U
781582849ffSxiaofeibao-xjtu        csBundle(2).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
782582849ffSxiaofeibao-xjtu        csBundle(2).ldest := (VECTOR_TMP_REG_LMUL + 2).U
783582849ffSxiaofeibao-xjtu        csBundle(2).uopIdx := 2.U
784582849ffSxiaofeibao-xjtu        when(vsew === VSew.e64) {
785582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(0) := (VECTOR_TMP_REG_LMUL + 2).U
786582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(1) := (VECTOR_TMP_REG_LMUL + 2).U
787582849ffSxiaofeibao-xjtu          csBundle(3).ldest := (VECTOR_TMP_REG_LMUL + 3).U
788582849ffSxiaofeibao-xjtu          csBundle(3).vpu.fpu.isFoldTo1_2 := true.B
789582849ffSxiaofeibao-xjtu          csBundle(3).uopIdx := 3.U
790582849ffSxiaofeibao-xjtu          csBundle(4).lsrc(0) := src1
791582849ffSxiaofeibao-xjtu          csBundle(4).lsrc(1) := (VECTOR_TMP_REG_LMUL + 3).U
792582849ffSxiaofeibao-xjtu          csBundle(4).ldest := dest
793582849ffSxiaofeibao-xjtu          csBundle(4).uopIdx := 4.U
794582849ffSxiaofeibao-xjtu        }
795582849ffSxiaofeibao-xjtu        when(vsew === VSew.e32) {
796582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(0) := (VECTOR_TMP_REG_LMUL + 2).U
797582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(1) := (VECTOR_TMP_REG_LMUL + 2).U
798582849ffSxiaofeibao-xjtu          csBundle(3).ldest := (VECTOR_TMP_REG_LMUL + 3).U
799582849ffSxiaofeibao-xjtu          csBundle(3).vpu.fpu.isFoldTo1_2 := true.B
800582849ffSxiaofeibao-xjtu          csBundle(3).uopIdx := 3.U
801582849ffSxiaofeibao-xjtu          csBundle(4).lsrc(0) := (VECTOR_TMP_REG_LMUL + 3).U
802582849ffSxiaofeibao-xjtu          csBundle(4).lsrc(1) := (VECTOR_TMP_REG_LMUL + 3).U
803582849ffSxiaofeibao-xjtu          csBundle(4).ldest := (VECTOR_TMP_REG_LMUL + 4).U
804582849ffSxiaofeibao-xjtu          csBundle(4).vpu.fpu.isFoldTo1_4 := true.B
805582849ffSxiaofeibao-xjtu          csBundle(4).uopIdx := 4.U
806582849ffSxiaofeibao-xjtu          csBundle(5).lsrc(0) := src1
807582849ffSxiaofeibao-xjtu          csBundle(5).lsrc(1) := (VECTOR_TMP_REG_LMUL + 4).U
808582849ffSxiaofeibao-xjtu          csBundle(5).ldest := dest
809582849ffSxiaofeibao-xjtu          csBundle(5).uopIdx := 5.U
810582849ffSxiaofeibao-xjtu        }
811582849ffSxiaofeibao-xjtu        when(vsew === VSew.e16) {
812582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(0) := (VECTOR_TMP_REG_LMUL + 2).U
813582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(1) := (VECTOR_TMP_REG_LMUL + 2).U
814582849ffSxiaofeibao-xjtu          csBundle(3).ldest := (VECTOR_TMP_REG_LMUL + 3).U
815582849ffSxiaofeibao-xjtu          csBundle(3).vpu.fpu.isFoldTo1_2 := true.B
816582849ffSxiaofeibao-xjtu          csBundle(3).uopIdx := 3.U
817582849ffSxiaofeibao-xjtu          csBundle(4).lsrc(0) := (VECTOR_TMP_REG_LMUL + 3).U
818582849ffSxiaofeibao-xjtu          csBundle(4).lsrc(1) := (VECTOR_TMP_REG_LMUL + 3).U
819582849ffSxiaofeibao-xjtu          csBundle(4).ldest := (VECTOR_TMP_REG_LMUL + 4).U
820582849ffSxiaofeibao-xjtu          csBundle(4).vpu.fpu.isFoldTo1_4 := true.B
821582849ffSxiaofeibao-xjtu          csBundle(4).uopIdx := 4.U
822582849ffSxiaofeibao-xjtu          csBundle(5).lsrc(0) := (VECTOR_TMP_REG_LMUL + 4).U
823582849ffSxiaofeibao-xjtu          csBundle(5).lsrc(1) := (VECTOR_TMP_REG_LMUL + 4).U
824582849ffSxiaofeibao-xjtu          csBundle(5).ldest := (VECTOR_TMP_REG_LMUL + 5).U
825582849ffSxiaofeibao-xjtu          csBundle(5).vpu.fpu.isFoldTo1_8 := true.B
826582849ffSxiaofeibao-xjtu          csBundle(5).uopIdx := 5.U
827582849ffSxiaofeibao-xjtu          csBundle(6).lsrc(0) := src1
828582849ffSxiaofeibao-xjtu          csBundle(6).lsrc(1) := (VECTOR_TMP_REG_LMUL + 5).U
829582849ffSxiaofeibao-xjtu          csBundle(6).ldest := dest
830582849ffSxiaofeibao-xjtu          csBundle(6).uopIdx := 6.U
831582849ffSxiaofeibao-xjtu        }
832582849ffSxiaofeibao-xjtu      }
833582849ffSxiaofeibao-xjtu      when(vlmul === VLmul.m2) {
834582849ffSxiaofeibao-xjtu        csBundle(0).lsrc(0) := src2 + 1.U
835582849ffSxiaofeibao-xjtu        csBundle(0).lsrc(1) := src2 + 0.U
836582849ffSxiaofeibao-xjtu        csBundle(0).ldest := (VECTOR_TMP_REG_LMUL + 0).U
837582849ffSxiaofeibao-xjtu        csBundle(0).uopIdx := 0.U
838582849ffSxiaofeibao-xjtu        when(vsew === VSew.e64) {
839582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(0) := (VECTOR_TMP_REG_LMUL + 0).U
840582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
841582849ffSxiaofeibao-xjtu          csBundle(1).ldest := (VECTOR_TMP_REG_LMUL + 1).U
842582849ffSxiaofeibao-xjtu          csBundle(1).vpu.fpu.isFoldTo1_2 := true.B
843582849ffSxiaofeibao-xjtu          csBundle(1).uopIdx := 1.U
844582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(0) := src1
845582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(1) := (VECTOR_TMP_REG_LMUL + 1).U
846582849ffSxiaofeibao-xjtu          csBundle(2).ldest := dest
847582849ffSxiaofeibao-xjtu          csBundle(2).uopIdx := 2.U
848582849ffSxiaofeibao-xjtu        }
849582849ffSxiaofeibao-xjtu        when(vsew === VSew.e32) {
850582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(0) := (VECTOR_TMP_REG_LMUL + 0).U
851582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
852582849ffSxiaofeibao-xjtu          csBundle(1).ldest := (VECTOR_TMP_REG_LMUL + 1).U
853582849ffSxiaofeibao-xjtu          csBundle(1).vpu.fpu.isFoldTo1_2 := true.B
854582849ffSxiaofeibao-xjtu          csBundle(1).uopIdx := 1.U
855582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(0) := (VECTOR_TMP_REG_LMUL + 1).U
856582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(1) := (VECTOR_TMP_REG_LMUL + 1).U
857582849ffSxiaofeibao-xjtu          csBundle(2).ldest := (VECTOR_TMP_REG_LMUL + 2).U
858582849ffSxiaofeibao-xjtu          csBundle(2).vpu.fpu.isFoldTo1_4 := true.B
859582849ffSxiaofeibao-xjtu          csBundle(2).uopIdx := 2.U
860582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(0) := src1
861582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(1) := (VECTOR_TMP_REG_LMUL + 2).U
862582849ffSxiaofeibao-xjtu          csBundle(3).ldest := dest
863582849ffSxiaofeibao-xjtu          csBundle(3).uopIdx := 3.U
864582849ffSxiaofeibao-xjtu        }
865582849ffSxiaofeibao-xjtu        when(vsew === VSew.e16) {
866582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(0) := (VECTOR_TMP_REG_LMUL + 0).U
867582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
868582849ffSxiaofeibao-xjtu          csBundle(1).ldest := (VECTOR_TMP_REG_LMUL + 1).U
869582849ffSxiaofeibao-xjtu          csBundle(1).vpu.fpu.isFoldTo1_2 := true.B
870582849ffSxiaofeibao-xjtu          csBundle(1).uopIdx := 1.U
871582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(0) := (VECTOR_TMP_REG_LMUL + 1).U
872582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(1) := (VECTOR_TMP_REG_LMUL + 1).U
873582849ffSxiaofeibao-xjtu          csBundle(2).ldest := (VECTOR_TMP_REG_LMUL + 2).U
874582849ffSxiaofeibao-xjtu          csBundle(2).vpu.fpu.isFoldTo1_4 := true.B
875582849ffSxiaofeibao-xjtu          csBundle(2).uopIdx := 2.U
876582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(0) := (VECTOR_TMP_REG_LMUL + 2).U
877582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(1) := (VECTOR_TMP_REG_LMUL + 2).U
878582849ffSxiaofeibao-xjtu          csBundle(3).ldest := (VECTOR_TMP_REG_LMUL + 3).U
879582849ffSxiaofeibao-xjtu          csBundle(3).vpu.fpu.isFoldTo1_8 := true.B
880582849ffSxiaofeibao-xjtu          csBundle(3).uopIdx := 3.U
881582849ffSxiaofeibao-xjtu          csBundle(4).lsrc(0) := src1
882582849ffSxiaofeibao-xjtu          csBundle(4).lsrc(1) := (VECTOR_TMP_REG_LMUL + 3).U
883582849ffSxiaofeibao-xjtu          csBundle(4).ldest := dest
884582849ffSxiaofeibao-xjtu          csBundle(4).uopIdx := 4.U
885582849ffSxiaofeibao-xjtu        }
886582849ffSxiaofeibao-xjtu      }
887582849ffSxiaofeibao-xjtu      when(vlmul === VLmul.m1) {
888582849ffSxiaofeibao-xjtu        when(vsew === VSew.e64) {
889582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(0) := src2
890582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(1) := src2
891582849ffSxiaofeibao-xjtu          csBundle(0).ldest := (VECTOR_TMP_REG_LMUL + 0).U
892582849ffSxiaofeibao-xjtu          csBundle(0).vpu.fpu.isFoldTo1_2 := true.B
893582849ffSxiaofeibao-xjtu          csBundle(0).uopIdx := 0.U
894582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(0) := src1
895582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
896582849ffSxiaofeibao-xjtu          csBundle(1).ldest := dest
897582849ffSxiaofeibao-xjtu          csBundle(1).uopIdx := 1.U
898582849ffSxiaofeibao-xjtu        }
899582849ffSxiaofeibao-xjtu        when(vsew === VSew.e32) {
900582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(0) := src2
901582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(1) := src2
902582849ffSxiaofeibao-xjtu          csBundle(0).ldest := (VECTOR_TMP_REG_LMUL + 0).U
903582849ffSxiaofeibao-xjtu          csBundle(0).vpu.fpu.isFoldTo1_2 := true.B
904582849ffSxiaofeibao-xjtu          csBundle(0).uopIdx := 0.U
905582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(0) := (VECTOR_TMP_REG_LMUL + 0).U
906582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
907582849ffSxiaofeibao-xjtu          csBundle(1).ldest := (VECTOR_TMP_REG_LMUL + 1).U
908582849ffSxiaofeibao-xjtu          csBundle(1).vpu.fpu.isFoldTo1_4 := true.B
909582849ffSxiaofeibao-xjtu          csBundle(1).uopIdx := 1.U
910582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(0) := src1
911582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(1) := (VECTOR_TMP_REG_LMUL + 1).U
912582849ffSxiaofeibao-xjtu          csBundle(2).ldest := dest
913582849ffSxiaofeibao-xjtu          csBundle(2).uopIdx := 2.U
914582849ffSxiaofeibao-xjtu        }
915582849ffSxiaofeibao-xjtu        when(vsew === VSew.e16) {
916582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(0) := src2
917582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(1) := src2
918582849ffSxiaofeibao-xjtu          csBundle(0).ldest := (VECTOR_TMP_REG_LMUL + 0).U
919582849ffSxiaofeibao-xjtu          csBundle(0).vpu.fpu.isFoldTo1_2 := true.B
920582849ffSxiaofeibao-xjtu          csBundle(0).uopIdx := 0.U
921582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(0) := (VECTOR_TMP_REG_LMUL + 0).U
922582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
923582849ffSxiaofeibao-xjtu          csBundle(1).ldest := (VECTOR_TMP_REG_LMUL + 1).U
924582849ffSxiaofeibao-xjtu          csBundle(1).vpu.fpu.isFoldTo1_4 := true.B
925582849ffSxiaofeibao-xjtu          csBundle(1).uopIdx := 1.U
926582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(0) := (VECTOR_TMP_REG_LMUL + 1).U
927582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(1) := (VECTOR_TMP_REG_LMUL + 1).U
928582849ffSxiaofeibao-xjtu          csBundle(2).ldest := (VECTOR_TMP_REG_LMUL + 2).U
929582849ffSxiaofeibao-xjtu          csBundle(2).vpu.fpu.isFoldTo1_8 := true.B
930582849ffSxiaofeibao-xjtu          csBundle(2).uopIdx := 2.U
931582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(0) := src1
932582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(1) := (VECTOR_TMP_REG_LMUL + 2).U
933582849ffSxiaofeibao-xjtu          csBundle(3).ldest := dest
934582849ffSxiaofeibao-xjtu          csBundle(3).uopIdx := 3.U
935582849ffSxiaofeibao-xjtu        }
936582849ffSxiaofeibao-xjtu      }
937582849ffSxiaofeibao-xjtu      when(vlmul === VLmul.mf2) {
938582849ffSxiaofeibao-xjtu        when(vsew === VSew.e32) {
939582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(0) := src2
940582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(1) := src2
941582849ffSxiaofeibao-xjtu          csBundle(0).ldest := (VECTOR_TMP_REG_LMUL + 0).U
942582849ffSxiaofeibao-xjtu          csBundle(0).vpu.fpu.isFoldTo1_4 := true.B
943582849ffSxiaofeibao-xjtu          csBundle(0).uopIdx := 0.U
944582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(0) := src1
945582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
946582849ffSxiaofeibao-xjtu          csBundle(1).ldest := dest
947582849ffSxiaofeibao-xjtu          csBundle(1).uopIdx := 1.U
948582849ffSxiaofeibao-xjtu        }
949582849ffSxiaofeibao-xjtu        when(vsew === VSew.e16) {
950582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(0) := src2
951582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(1) := src2
952582849ffSxiaofeibao-xjtu          csBundle(0).ldest := (VECTOR_TMP_REG_LMUL + 0).U
953582849ffSxiaofeibao-xjtu          csBundle(0).vpu.fpu.isFoldTo1_4 := true.B
954582849ffSxiaofeibao-xjtu          csBundle(0).uopIdx := 0.U
955582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(0) := (VECTOR_TMP_REG_LMUL + 0).U
956582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
957582849ffSxiaofeibao-xjtu          csBundle(1).ldest := (VECTOR_TMP_REG_LMUL + 1).U
958582849ffSxiaofeibao-xjtu          csBundle(1).vpu.fpu.isFoldTo1_8 := true.B
959582849ffSxiaofeibao-xjtu          csBundle(1).uopIdx := 1.U
960582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(0) := src1
961582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(1) := (VECTOR_TMP_REG_LMUL + 1).U
962582849ffSxiaofeibao-xjtu          csBundle(2).ldest := dest
963582849ffSxiaofeibao-xjtu          csBundle(2).uopIdx := 2.U
964582849ffSxiaofeibao-xjtu        }
965582849ffSxiaofeibao-xjtu      }
966582849ffSxiaofeibao-xjtu      when(vlmul === VLmul.mf4) {
967582849ffSxiaofeibao-xjtu        when(vsew === VSew.e16) {
968582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(0) := src2
969582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(1) := src2
970582849ffSxiaofeibao-xjtu          csBundle(0).ldest := (VECTOR_TMP_REG_LMUL + 0).U
971582849ffSxiaofeibao-xjtu          csBundle(0).vpu.fpu.isFoldTo1_8 := true.B
972582849ffSxiaofeibao-xjtu          csBundle(0).uopIdx := 0.U
973582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(0) := src1
974582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
975582849ffSxiaofeibao-xjtu          csBundle(1).ldest := dest
976582849ffSxiaofeibao-xjtu          csBundle(1).uopIdx := 1.U
977582849ffSxiaofeibao-xjtu        }
978582849ffSxiaofeibao-xjtu      }
979582849ffSxiaofeibao-xjtu    }
980d91483a6Sfdy
981b94b1889Sxiaofeibao-xjtu    is(UopSplitType.VEC_VFREDOSUM) {
982b94b1889Sxiaofeibao-xjtu      import yunsuan.VfaluType
983aaa08c5aSxiaofeibao-xjtu      val vlmul = vlmulReg
984aaa08c5aSxiaofeibao-xjtu      val vsew = vsewReg
985e25c13faSXuan Hu      val isWiden = latchedInst.fuOpType === VfaluType.vfwredosum
986b94b1889Sxiaofeibao-xjtu      when(vlmul === VLmul.m8) {
987b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e64) {
988b94b1889Sxiaofeibao-xjtu          val vlmax = 16
989b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
990b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
991b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 2 == 0) src2 + (i/2).U else VECTOR_TMP_REG_LMUL.U)
992b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 2 == 0) src2 + (i/2).U else if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
993b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
994b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_2 := (if (i % 2 == 0) false.B else true.B)
995b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
996b94b1889Sxiaofeibao-xjtu          }
997b94b1889Sxiaofeibao-xjtu        }
998b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e32) {
999b94b1889Sxiaofeibao-xjtu          val vlmax = 32
1000b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1001b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1002b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 4 == 0) src2 + (i/4).U else VECTOR_TMP_REG_LMUL.U)
1003b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 4 == 0) src2 + (i/4).U else if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1004b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1005b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := (if (i % 4 == 0) false.B else true.B)
1006b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1007b94b1889Sxiaofeibao-xjtu          }
1008b94b1889Sxiaofeibao-xjtu        }
1009b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e16) {
1010b94b1889Sxiaofeibao-xjtu          val vlmax = 64
1011b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1012b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1013b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 8 == 0) src2 + (i/8).U else VECTOR_TMP_REG_LMUL.U)
1014b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 8 == 0) src2 + (i/8).U else if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1015b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1016b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_8 := (if (i % 8 == 0) false.B else true.B)
1017b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1018b94b1889Sxiaofeibao-xjtu          }
1019b94b1889Sxiaofeibao-xjtu        }
1020b94b1889Sxiaofeibao-xjtu      }
1021b94b1889Sxiaofeibao-xjtu      when(vlmul === VLmul.m4) {
1022b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e64) {
1023b94b1889Sxiaofeibao-xjtu          val vlmax = 8
1024b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1025b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1026b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 2 == 0) src2 + (i/2).U else VECTOR_TMP_REG_LMUL.U)
1027b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 2 == 0) src2 + (i/2).U else if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1028b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1029b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_2 := (if (i % 2 == 0) false.B else true.B)
1030b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1031b94b1889Sxiaofeibao-xjtu          }
1032b94b1889Sxiaofeibao-xjtu        }
1033b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e32) {
1034b94b1889Sxiaofeibao-xjtu          val vlmax = 16
1035b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1036b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1037b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 4 == 0) src2 + (i/4).U else VECTOR_TMP_REG_LMUL.U)
1038b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 4 == 0) src2 + (i/4).U else if (i == vlmax - 1) dest else if (i % 4 == 1) Mux(isWiden, src2 + (i/4).U, VECTOR_TMP_REG_LMUL.U) else VECTOR_TMP_REG_LMUL.U)
1039b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1040b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_2 := isWiden && (if (i % 4 == 0) false.B else true.B)
1041b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := !isWiden && (if (i % 4 == 0) false.B else true.B)
1042b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1043b94b1889Sxiaofeibao-xjtu          }
1044b94b1889Sxiaofeibao-xjtu        }
1045b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e16) {
1046b94b1889Sxiaofeibao-xjtu          val vlmax = 32
1047b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1048b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1049b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 8 == 0) src2 + (i/8).U else VECTOR_TMP_REG_LMUL.U)
1050b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 8 == 0) src2 + (i/8).U else if (i == vlmax - 1) dest else if (i % 8 == 1) Mux(isWiden, src2 + (i/8).U, VECTOR_TMP_REG_LMUL.U) else VECTOR_TMP_REG_LMUL.U)
1051b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1052b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := isWiden && (if (i % 8 == 0) false.B else true.B)
1053b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_8 := !isWiden && (if (i % 8 == 0) false.B else true.B)
1054b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1055b94b1889Sxiaofeibao-xjtu          }
1056b94b1889Sxiaofeibao-xjtu        }
1057b94b1889Sxiaofeibao-xjtu      }
1058b94b1889Sxiaofeibao-xjtu      when(vlmul === VLmul.m2) {
1059b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e64) {
1060b94b1889Sxiaofeibao-xjtu          val vlmax = 4
1061b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1062b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1063b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 2 == 0) src2 + (i/2).U else VECTOR_TMP_REG_LMUL.U)
1064b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 2 == 0) src2 + (i/2).U else if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1065b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1066b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_2 := (if (i % 2 == 0) false.B else true.B)
1067b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1068b94b1889Sxiaofeibao-xjtu          }
1069b94b1889Sxiaofeibao-xjtu        }
1070b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e32) {
1071b94b1889Sxiaofeibao-xjtu          val vlmax = 8
1072b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1073b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1074b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 4 == 0) src2 + (i/4).U else VECTOR_TMP_REG_LMUL.U)
1075b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 4 == 0) src2 + (i/4).U else if (i == vlmax - 1) dest else if (i % 4 == 1) Mux(isWiden, src2 + (i/4).U, VECTOR_TMP_REG_LMUL.U) else VECTOR_TMP_REG_LMUL.U)
1076b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1077b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_2 := isWiden && (if (i % 4 == 0) false.B else true.B)
1078b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := !isWiden && (if (i % 4 == 0) false.B else true.B)
1079b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1080b94b1889Sxiaofeibao-xjtu          }
1081b94b1889Sxiaofeibao-xjtu        }
1082b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e16) {
1083b94b1889Sxiaofeibao-xjtu          val vlmax = 16
1084b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1085b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1086b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 8 == 0) src2 + (i/8).U else VECTOR_TMP_REG_LMUL.U)
1087b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 8 == 0) src2 + (i/8).U else if (i == vlmax - 1) dest else if (i % 8 == 1) Mux(isWiden, src2 + (i/8).U, VECTOR_TMP_REG_LMUL.U) else VECTOR_TMP_REG_LMUL.U)
1088b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1089b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := isWiden && (if (i % 8 == 0) false.B else true.B)
1090b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_8 := !isWiden && (if (i % 8 == 0) false.B else true.B)
1091b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1092b94b1889Sxiaofeibao-xjtu          }
1093b94b1889Sxiaofeibao-xjtu        }
1094b94b1889Sxiaofeibao-xjtu      }
1095b94b1889Sxiaofeibao-xjtu      when(vlmul === VLmul.m1) {
1096b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e64) {
1097b94b1889Sxiaofeibao-xjtu          val vlmax = 2
1098b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1099b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1100b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 2 == 0) src2 + (i/2).U else VECTOR_TMP_REG_LMUL.U)
1101b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 2 == 0) src2 + (i/2).U else if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1102b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1103b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_2 := (if (i % 2 == 0) false.B else true.B)
1104b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1105b94b1889Sxiaofeibao-xjtu          }
1106b94b1889Sxiaofeibao-xjtu        }
1107b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e32) {
1108b94b1889Sxiaofeibao-xjtu          val vlmax = 4
1109b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1110b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1111b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 4 == 0) src2 + (i/4).U else VECTOR_TMP_REG_LMUL.U)
1112b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 4 == 0) src2 + (i/4).U else if (i == vlmax - 1) dest else if (i % 4 == 1) Mux(isWiden, src2 + (i/4).U, VECTOR_TMP_REG_LMUL.U) else VECTOR_TMP_REG_LMUL.U)
1113b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1114b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_2 := isWiden && (if (i % 4 == 0) false.B else true.B)
1115b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := !isWiden && (if (i % 4 == 0) false.B else true.B)
1116b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1117b94b1889Sxiaofeibao-xjtu          }
1118b94b1889Sxiaofeibao-xjtu        }
1119b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e16) {
1120b94b1889Sxiaofeibao-xjtu          val vlmax = 8
1121b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1122b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1123b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 8 == 0) src2 + (i/8).U else VECTOR_TMP_REG_LMUL.U)
1124b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 8 == 0) src2 + (i/8).U else if (i == vlmax - 1) dest else if (i % 8 == 1) Mux(isWiden, src2 + (i/8).U, VECTOR_TMP_REG_LMUL.U) else VECTOR_TMP_REG_LMUL.U)
1125b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1126b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := isWiden && (if (i % 8 == 0) false.B else true.B)
1127b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_8 := !isWiden && (if (i % 8 == 0) false.B else true.B)
1128b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1129b94b1889Sxiaofeibao-xjtu          }
1130b94b1889Sxiaofeibao-xjtu        }
1131b94b1889Sxiaofeibao-xjtu      }
1132b94b1889Sxiaofeibao-xjtu      when(vlmul === VLmul.mf2) {
1133b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e32) {
1134b94b1889Sxiaofeibao-xjtu          val vlmax = 2
1135b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1136b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1137b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 4 == 0) src2 + (i/4).U else VECTOR_TMP_REG_LMUL.U)
1138b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 4 == 0) src2 + (i/4).U else if (i == vlmax - 1) dest else if (i % 4 == 1) Mux(isWiden, src2 + (i/4).U, VECTOR_TMP_REG_LMUL.U) else VECTOR_TMP_REG_LMUL.U)
1139b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1140b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_2 := isWiden && (if (i % 4 == 0) false.B else true.B)
1141b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := !isWiden && (if (i % 4 == 0) false.B else true.B)
1142b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1143b94b1889Sxiaofeibao-xjtu          }
1144b94b1889Sxiaofeibao-xjtu        }
1145b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e16) {
1146b94b1889Sxiaofeibao-xjtu          val vlmax = 4
1147b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1148b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1149b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 8 == 0) src2 + (i/8).U else VECTOR_TMP_REG_LMUL.U)
1150b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 8 == 0) src2 + (i/8).U else if (i == vlmax - 1) dest else if (i % 8 == 1) Mux(isWiden, src2 + (i/8).U, VECTOR_TMP_REG_LMUL.U) else VECTOR_TMP_REG_LMUL.U)
1151b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1152b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := isWiden && (if (i % 8 == 0) false.B else true.B)
1153b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_8 := !isWiden && (if (i % 8 == 0) false.B else true.B)
1154b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1155b94b1889Sxiaofeibao-xjtu          }
1156b94b1889Sxiaofeibao-xjtu        }
1157b94b1889Sxiaofeibao-xjtu      }
1158b94b1889Sxiaofeibao-xjtu      when(vlmul === VLmul.mf4) {
1159b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e16) {
1160b94b1889Sxiaofeibao-xjtu          val vlmax = 2
1161b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1162b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1163b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 8 == 0) src2 + (i/8).U else VECTOR_TMP_REG_LMUL.U)
1164b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 8 == 0) src2 + (i/8).U else if (i == vlmax - 1) dest else if (i % 8 == 1) Mux(isWiden, src2 + (i/8).U, VECTOR_TMP_REG_LMUL.U) else VECTOR_TMP_REG_LMUL.U)
1165b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1166b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := isWiden && (if (i % 8 == 0) false.B else true.B)
1167b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_8 := !isWiden && (if (i % 8 == 0) false.B else true.B)
1168b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1169b94b1889Sxiaofeibao-xjtu          }
1170b94b1889Sxiaofeibao-xjtu        }
1171b94b1889Sxiaofeibao-xjtu      }
1172b94b1889Sxiaofeibao-xjtu    }
1173d6059658SZiyue Zhang
117417ec87f2SXuan Hu    is(UopSplitType.VEC_SLIDEUP) {
1175d6059658SZiyue Zhang      // i to vector move
1176d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
1177d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
1178d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
1179fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
1180fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
1181b1712600SZiyue Zhang      csBundle(0).fuOpType := Cat(Mux(src1IsImm, IF2VectorType.imm2Vec(2, 0), IF2VectorType.i2Vec(2, 0)), vsewReg)
1182fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
1183d91483a6Sfdy      // LMUL
1184d91483a6Sfdy      for (i <- 0 until MAX_VLMUL)
1185d91483a6Sfdy        for (j <- 0 to i) {
11864ee69032SzhanglyGit          val old_vd = if (j == 0) {
11874ee69032SzhanglyGit            dest + i.U
1188fc85f18fSZiyue Zhang          } else (VECTOR_TMP_REG_LMUL + j).U
11894ee69032SzhanglyGit          val vd = if (j == i) {
11904ee69032SzhanglyGit            dest + i.U
1191fc85f18fSZiyue Zhang          } else (VECTOR_TMP_REG_LMUL + j + 1).U
1192fc85f18fSZiyue Zhang          csBundle(i * (i + 1) / 2 + j + 1).srcType(0) := SrcType.vp
1193fc85f18fSZiyue Zhang          csBundle(i * (i + 1) / 2 + j + 1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
1194d91483a6Sfdy          csBundle(i * (i + 1) / 2 + j + 1).lsrc(1) := src2 + j.U
1195d91483a6Sfdy          csBundle(i * (i + 1) / 2 + j + 1).lsrc(2) := old_vd
1196d91483a6Sfdy          csBundle(i * (i + 1) / 2 + j + 1).ldest := vd
1197d91483a6Sfdy          csBundle(i * (i + 1) / 2 + j + 1).uopIdx := (i * (i + 1) / 2 + j).U
1198d91483a6Sfdy        }
1199d91483a6Sfdy    }
1200d91483a6Sfdy
120117ec87f2SXuan Hu    is(UopSplitType.VEC_SLIDEDOWN) {
1202d6059658SZiyue Zhang      // i to vector move
1203d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
1204d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
1205d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
1206fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
1207fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
1208b1712600SZiyue Zhang      csBundle(0).fuOpType := Cat(Mux(src1IsImm, IF2VectorType.imm2Vec(2, 0), IF2VectorType.i2Vec(2, 0)), vsewReg)
1209fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
1210d91483a6Sfdy      // LMUL
1211d91483a6Sfdy      for (i <- 0 until MAX_VLMUL)
1212d91483a6Sfdy        for (j <- (0 to i).reverse) {
1213d91483a6Sfdy          when(i.U < lmul) {
12144ee69032SzhanglyGit            val old_vd = if (j == 0) {
12154ee69032SzhanglyGit              dest + lmul - 1.U - i.U
1216fc85f18fSZiyue Zhang            } else (VECTOR_TMP_REG_LMUL + j).U
12174ee69032SzhanglyGit            val vd = if (j == i) {
12184ee69032SzhanglyGit              dest + lmul - 1.U - i.U
1219fc85f18fSZiyue Zhang            } else (VECTOR_TMP_REG_LMUL + j + 1).U
1220fc85f18fSZiyue Zhang            csBundle(numOfUop - (i * (i + 1) / 2 + i - j + 1).U).srcType(0) := SrcType.vp
1221fc85f18fSZiyue Zhang            csBundle(numOfUop - (i * (i + 1) / 2 + i - j + 1).U).lsrc(0) := VECTOR_TMP_REG_LMUL.U
1222d91483a6Sfdy            csBundle(numOfUop - (i * (i + 1) / 2 + i - j + 1).U).lsrc(1) := src2 + lmul - 1.U - j.U
1223d91483a6Sfdy            csBundle(numOfUop - (i * (i + 1) / 2 + i - j + 1).U).lsrc(2) := old_vd
1224d91483a6Sfdy            csBundle(numOfUop - (i * (i + 1) / 2 + i - j + 1).U).ldest := vd
1225d91483a6Sfdy            csBundle(numOfUop - (i * (i + 1) / 2 + i - j + 1).U).uopIdx := numOfUop - (i * (i + 1) / 2 + i - j + 2).U
1226d91483a6Sfdy          }
1227d91483a6Sfdy        }
1228d91483a6Sfdy    }
1229d91483a6Sfdy
123017ec87f2SXuan Hu    is(UopSplitType.VEC_M0X) {
1231d91483a6Sfdy      // LMUL
1232d91483a6Sfdy      for (i <- 0 until MAX_VLMUL) {
1233d91483a6Sfdy        val srcType0 = if (i == 0) SrcType.DC else SrcType.vp
1234d91483a6Sfdy        val ldest = (VECTOR_TMP_REG_LMUL + i).U
1235d91483a6Sfdy        csBundle(i).srcType(0) := srcType0
1236d91483a6Sfdy        csBundle(i).srcType(1) := SrcType.vp
1237d91483a6Sfdy        csBundle(i).rfWen := false.B
1238cd2c45feSZiyue Zhang        csBundle(i).fpWen := false.B
1239d91483a6Sfdy        csBundle(i).vecWen := true.B
1240d91483a6Sfdy        csBundle(i).lsrc(0) := (VECTOR_TMP_REG_LMUL + i - 1).U
1241d91483a6Sfdy        csBundle(i).lsrc(1) := src2
1242d91483a6Sfdy        // csBundle(i).lsrc(2) := dest + i.U  DontCare
1243d91483a6Sfdy        csBundle(i).ldest := ldest
1244d91483a6Sfdy        csBundle(i).uopIdx := i.U
1245d91483a6Sfdy      }
1246cd2c45feSZiyue Zhang      csBundle(lmul - 1.U).rfWen := true.B
1247cd2c45feSZiyue Zhang      csBundle(lmul - 1.U).fpWen := false.B
1248d91483a6Sfdy      csBundle(lmul - 1.U).vecWen := false.B
1249cd2c45feSZiyue Zhang      csBundle(lmul - 1.U).ldest := dest
1250d91483a6Sfdy    }
1251d91483a6Sfdy
125217ec87f2SXuan Hu    is(UopSplitType.VEC_MVV) {
1253d91483a6Sfdy      // LMUL
1254d91483a6Sfdy      for (i <- 0 until MAX_VLMUL) {
1255d91483a6Sfdy        val srcType0 = if (i == 0) SrcType.DC else SrcType.vp
1256d91483a6Sfdy        csBundle(i * 2 + 0).srcType(0) := srcType0
1257d91483a6Sfdy        csBundle(i * 2 + 0).srcType(1) := SrcType.vp
1258d91483a6Sfdy        csBundle(i * 2 + 0).lsrc(0) := (VECTOR_TMP_REG_LMUL + i - 1).U
1259d91483a6Sfdy        csBundle(i * 2 + 0).lsrc(1) := src2
1260d91483a6Sfdy        csBundle(i * 2 + 0).lsrc(2) := dest + i.U
1261d91483a6Sfdy        csBundle(i * 2 + 0).ldest := dest + i.U
1262d91483a6Sfdy        csBundle(i * 2 + 0).uopIdx := (i * 2 + 0).U
1263d91483a6Sfdy
1264d91483a6Sfdy        csBundle(i * 2 + 1).srcType(0) := srcType0
1265d91483a6Sfdy        csBundle(i * 2 + 1).srcType(1) := SrcType.vp
1266d91483a6Sfdy        csBundle(i * 2 + 1).lsrc(0) := (VECTOR_TMP_REG_LMUL + i - 1).U
1267d91483a6Sfdy        csBundle(i * 2 + 1).lsrc(1) := src2
1268d91483a6Sfdy        // csBundle(i).lsrc(2) := dest + i.U  DontCare
1269d91483a6Sfdy        csBundle(i * 2 + 1).ldest := (VECTOR_TMP_REG_LMUL + i).U
1270d91483a6Sfdy        csBundle(i * 2 + 1).uopIdx := (i * 2 + 1).U
1271d91483a6Sfdy      }
1272d91483a6Sfdy    }
1273d91483a6Sfdy
127417ec87f2SXuan Hu    is(UopSplitType.VEC_M0X_VFIRST) {
1275d91483a6Sfdy      // LMUL
1276cd2c45feSZiyue Zhang      csBundle(0).rfWen := true.B
1277cd2c45feSZiyue Zhang      csBundle(0).fpWen := false.B
1278cd2c45feSZiyue Zhang      csBundle(0).vecWen := false.B
1279cd2c45feSZiyue Zhang      csBundle(0).ldest := dest
1280d91483a6Sfdy    }
1281189ec863SzhanglyGit    is(UopSplitType.VEC_VWW) {
1282189ec863SzhanglyGit      for (i <- 0 until MAX_VLMUL*2) {
1283189ec863SzhanglyGit        when(i.U < lmul){
1284189ec863SzhanglyGit          csBundle(i).srcType(2) := SrcType.DC
1285189ec863SzhanglyGit          csBundle(i).lsrc(0) := src2 + i.U
1286189ec863SzhanglyGit          csBundle(i).lsrc(1) := src2 + i.U
1287189ec863SzhanglyGit          // csBundle(i).lsrc(2) := dest + (2 * i).U
1288189ec863SzhanglyGit          csBundle(i).ldest := (VECTOR_TMP_REG_LMUL + i).U
1289189ec863SzhanglyGit          csBundle(i).uopIdx :=  i.U
1290189ec863SzhanglyGit        } otherwise {
1291189ec863SzhanglyGit          csBundle(i).srcType(2) := SrcType.DC
1292189ec863SzhanglyGit          csBundle(i).lsrc(0) := VECTOR_TMP_REG_LMUL.U + Cat((i.U-lmul),0.U(1.W)) + 1.U
1293189ec863SzhanglyGit          csBundle(i).lsrc(1) := VECTOR_TMP_REG_LMUL.U + Cat((i.U-lmul),0.U(1.W))
1294189ec863SzhanglyGit          // csBundle(i).lsrc(2) := dest + (2 * i).U
1295189ec863SzhanglyGit          csBundle(i).ldest := (VECTOR_TMP_REG_LMUL + i).U
1296189ec863SzhanglyGit          csBundle(i).uopIdx := i.U
1297189ec863SzhanglyGit        }
1298189ec863SzhanglyGit        csBundle(numOfUop-1.U).srcType(2) := SrcType.vp
1299189ec863SzhanglyGit        csBundle(numOfUop-1.U).lsrc(0) := src1
1300189ec863SzhanglyGit        csBundle(numOfUop-1.U).lsrc(2) := dest
1301189ec863SzhanglyGit        csBundle(numOfUop-1.U).ldest := dest
1302189ec863SzhanglyGit      }
1303189ec863SzhanglyGit    }
1304189ec863SzhanglyGit    is(UopSplitType.VEC_RGATHER) {
1305189ec863SzhanglyGit      def genCsBundle_VEC_RGATHER(len:Int): Unit ={
1306189ec863SzhanglyGit        for (i <- 0 until len)
1307189ec863SzhanglyGit          for (j <- 0 until len) {
1308189ec863SzhanglyGit            // csBundle(i * len + j).srcType(0) := SrcType.vp // SrcType.imm
1309189ec863SzhanglyGit            // csBundle(i * len + j).srcType(1) := SrcType.vp
1310189ec863SzhanglyGit            // csBundle(i * len + j).srcType(2) := SrcType.vp
1311189ec863SzhanglyGit            csBundle(i * len + j).lsrc(0) := src1 + i.U
1312189ec863SzhanglyGit            csBundle(i * len + j).lsrc(1) := src2 + j.U
1313189ec863SzhanglyGit            val vd_old = if(j==0) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j - 1).U
1314189ec863SzhanglyGit            csBundle(i * len + j).lsrc(2) := vd_old
1315189ec863SzhanglyGit            val vd = if(j==len-1) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j).U
1316189ec863SzhanglyGit            csBundle(i * len + j).ldest := vd
1317189ec863SzhanglyGit            csBundle(i * len + j).uopIdx := (i * len + j).U
1318189ec863SzhanglyGit          }
1319189ec863SzhanglyGit      }
1320aaa08c5aSxiaofeibao-xjtu      switch(vlmulReg) {
1321189ec863SzhanglyGit        is("b001".U ){
1322189ec863SzhanglyGit          genCsBundle_VEC_RGATHER(2)
1323189ec863SzhanglyGit        }
1324189ec863SzhanglyGit        is("b010".U ){
1325189ec863SzhanglyGit          genCsBundle_VEC_RGATHER(4)
1326189ec863SzhanglyGit        }
1327189ec863SzhanglyGit        is("b011".U ){
1328189ec863SzhanglyGit          genCsBundle_VEC_RGATHER(8)
1329189ec863SzhanglyGit        }
1330189ec863SzhanglyGit      }
1331189ec863SzhanglyGit    }
1332189ec863SzhanglyGit    is(UopSplitType.VEC_RGATHER_VX) {
1333189ec863SzhanglyGit      def genCsBundle_RGATHER_VX(len:Int): Unit ={
1334189ec863SzhanglyGit        for (i <- 0 until len)
1335189ec863SzhanglyGit          for (j <- 0 until len) {
1336fc85f18fSZiyue Zhang            csBundle(i * len + j + 1).srcType(0) := SrcType.vp
1337189ec863SzhanglyGit            // csBundle(i * len + j + 1).srcType(1) := SrcType.vp
1338189ec863SzhanglyGit            // csBundle(i * len + j + 1).srcType(2) := SrcType.vp
1339fc85f18fSZiyue Zhang            csBundle(i * len + j + 1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
1340189ec863SzhanglyGit            csBundle(i * len + j + 1).lsrc(1) := src2 + j.U
1341fc85f18fSZiyue Zhang            val vd_old = if(j==0) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j).U
1342189ec863SzhanglyGit            csBundle(i * len + j + 1).lsrc(2) := vd_old
1343fc85f18fSZiyue Zhang            val vd = if(j==len-1) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j + 1).U
1344189ec863SzhanglyGit            csBundle(i * len + j + 1).ldest := vd
1345189ec863SzhanglyGit            csBundle(i * len + j + 1).uopIdx := (i * len + j).U
1346189ec863SzhanglyGit          }
1347189ec863SzhanglyGit      }
1348d6059658SZiyue Zhang      // i to vector move
1349189ec863SzhanglyGit      csBundle(0).srcType(0) := SrcType.reg
1350189ec863SzhanglyGit      csBundle(0).srcType(1) := SrcType.imm
1351189ec863SzhanglyGit      csBundle(0).lsrc(1) := 0.U
1352fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
1353fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
1354b1712600SZiyue Zhang      csBundle(0).fuOpType := Cat(Mux(src1IsImm, IF2VectorType.imm2Vec(2, 0), IF2VectorType.i2Vec(2, 0)), vsewReg)
1355fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
1356aaa08c5aSxiaofeibao-xjtu      switch(vlmulReg) {
1357189ec863SzhanglyGit        is("b000".U ){
1358189ec863SzhanglyGit          genCsBundle_RGATHER_VX(1)
1359189ec863SzhanglyGit        }
1360189ec863SzhanglyGit        is("b001".U ){
1361189ec863SzhanglyGit          genCsBundle_RGATHER_VX(2)
1362189ec863SzhanglyGit        }
1363189ec863SzhanglyGit        is("b010".U ){
1364189ec863SzhanglyGit          genCsBundle_RGATHER_VX(4)
1365189ec863SzhanglyGit        }
1366189ec863SzhanglyGit        is("b011".U ){
1367189ec863SzhanglyGit          genCsBundle_RGATHER_VX(8)
1368189ec863SzhanglyGit        }
1369189ec863SzhanglyGit      }
1370189ec863SzhanglyGit    }
1371189ec863SzhanglyGit    is(UopSplitType.VEC_RGATHEREI16) {
1372189ec863SzhanglyGit      def genCsBundle_VEC_RGATHEREI16_SEW8(len:Int): Unit ={
1373189ec863SzhanglyGit        for (i <- 0 until len)
1374189ec863SzhanglyGit          for (j <- 0 until len) {
1375189ec863SzhanglyGit            val vd_old0 = if(j==0) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j*2-1).U
1376189ec863SzhanglyGit            val vd0 = (VECTOR_TMP_REG_LMUL + j*2 ).U
1377189ec863SzhanglyGit            // csBundle(i * len + j).srcType(0) := SrcType.vp // SrcType.imm
1378189ec863SzhanglyGit            // csBundle(i * len + j).srcType(1) := SrcType.vp
1379189ec863SzhanglyGit            // csBundle(i * len + j).srcType(2) := SrcType.vp
1380189ec863SzhanglyGit            csBundle((i * len + j)*2+0).lsrc(0) := src1 + (i*2+0).U
1381189ec863SzhanglyGit            csBundle((i * len + j)*2+0).lsrc(1) := src2 + j.U
1382189ec863SzhanglyGit            csBundle((i * len + j)*2+0).lsrc(2) := vd_old0
1383189ec863SzhanglyGit            csBundle((i * len + j)*2+0).ldest := vd0
1384189ec863SzhanglyGit            csBundle((i * len + j)*2+0).uopIdx := ((i * len + j)*2+0).U
1385189ec863SzhanglyGit            val vd_old1 = (VECTOR_TMP_REG_LMUL + j*2).U
1386189ec863SzhanglyGit            val vd1 = if(j==len-1) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j*2+1 ).U
1387189ec863SzhanglyGit            csBundle((i * len + j)*2+1).lsrc(0) := src1 + (i*2+1).U
1388189ec863SzhanglyGit            csBundle((i * len + j)*2+1).lsrc(1) := src2 + j.U
1389189ec863SzhanglyGit            csBundle((i * len + j)*2+1).lsrc(2) := vd_old1
1390189ec863SzhanglyGit            csBundle((i * len + j)*2+1).ldest := vd1
1391189ec863SzhanglyGit            csBundle((i * len + j)*2+1).uopIdx := ((i * len + j)*2+1).U
1392189ec863SzhanglyGit          }
1393189ec863SzhanglyGit      }
1394189ec863SzhanglyGit      def genCsBundle_VEC_RGATHEREI16(len:Int): Unit ={
1395189ec863SzhanglyGit        for (i <- 0 until len)
1396189ec863SzhanglyGit          for (j <- 0 until len) {
1397189ec863SzhanglyGit            val vd_old = if(j==0) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j-1).U
1398189ec863SzhanglyGit            val vd = if(j==len-1) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j).U
1399189ec863SzhanglyGit            // csBundle(i * len + j).srcType(0) := SrcType.vp // SrcType.imm
1400189ec863SzhanglyGit            // csBundle(i * len + j).srcType(1) := SrcType.vp
1401189ec863SzhanglyGit            // csBundle(i * len + j).srcType(2) := SrcType.vp
1402189ec863SzhanglyGit            csBundle(i * len + j).lsrc(0) := src1 + i.U
1403189ec863SzhanglyGit            csBundle(i * len + j).lsrc(1) := src2 + j.U
1404189ec863SzhanglyGit            csBundle(i * len + j).lsrc(2) := vd_old
1405189ec863SzhanglyGit            csBundle(i * len + j).ldest := vd
1406189ec863SzhanglyGit            csBundle(i * len + j).uopIdx := (i * len + j).U
1407189ec863SzhanglyGit          }
1408189ec863SzhanglyGit      }
1409aaa08c5aSxiaofeibao-xjtu      switch(vlmulReg) {
1410189ec863SzhanglyGit        is("b000".U ){
1411aaa08c5aSxiaofeibao-xjtu          when(!vsewReg.orR){
1412189ec863SzhanglyGit            genCsBundle_VEC_RGATHEREI16_SEW8(1)
1413189ec863SzhanglyGit          } .otherwise{
1414189ec863SzhanglyGit            genCsBundle_VEC_RGATHEREI16(1)
1415189ec863SzhanglyGit          }
1416189ec863SzhanglyGit        }
1417189ec863SzhanglyGit        is("b001".U) {
1418aaa08c5aSxiaofeibao-xjtu          when(!vsewReg.orR) {
1419189ec863SzhanglyGit            genCsBundle_VEC_RGATHEREI16_SEW8(2)
1420189ec863SzhanglyGit          }.otherwise {
1421189ec863SzhanglyGit            genCsBundle_VEC_RGATHEREI16(2)
1422189ec863SzhanglyGit          }
1423189ec863SzhanglyGit        }
1424189ec863SzhanglyGit        is("b010".U) {
1425aaa08c5aSxiaofeibao-xjtu          when(!vsewReg.orR) {
1426189ec863SzhanglyGit            genCsBundle_VEC_RGATHEREI16_SEW8(4)
1427189ec863SzhanglyGit          }.otherwise {
1428189ec863SzhanglyGit            genCsBundle_VEC_RGATHEREI16(4)
1429189ec863SzhanglyGit          }
1430189ec863SzhanglyGit        }
1431189ec863SzhanglyGit        is("b011".U) {
1432189ec863SzhanglyGit          genCsBundle_VEC_RGATHEREI16(8)
1433189ec863SzhanglyGit        }
1434189ec863SzhanglyGit      }
1435189ec863SzhanglyGit    }
1436189ec863SzhanglyGit    is(UopSplitType.VEC_COMPRESS) {
1437189ec863SzhanglyGit      def genCsBundle_VEC_COMPRESS(len:Int): Unit ={
1438189ec863SzhanglyGit        for (i <- 0 until len){
1439189ec863SzhanglyGit          val jlen = if (i == len-1) i+1 else i+2
1440189ec863SzhanglyGit          for (j <- 0 until jlen) {
1441189ec863SzhanglyGit            val vd_old = if(i==j) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j + 1).U
1442189ec863SzhanglyGit            val vd = if(i==len-1) (dest + j.U) else{
1443189ec863SzhanglyGit              if (j == i+1) VECTOR_TMP_REG_LMUL.U else (VECTOR_TMP_REG_LMUL + j + 1).U
1444189ec863SzhanglyGit            }
1445189ec863SzhanglyGit            val src23Type = if (j == i+1) DontCare else SrcType.vp
1446189ec863SzhanglyGit            csBundle(i*(i+3)/2 + j).srcType(0) := SrcType.vp
1447189ec863SzhanglyGit            csBundle(i*(i+3)/2 + j).srcType(1) := src23Type
1448189ec863SzhanglyGit            csBundle(i*(i+3)/2 + j).srcType(2) := src23Type
1449189ec863SzhanglyGit            csBundle(i*(i+3)/2 + j).lsrc(0) := src1
1450189ec863SzhanglyGit            csBundle(i*(i+3)/2 + j).lsrc(1) := src2 + i.U
1451189ec863SzhanglyGit            csBundle(i*(i+3)/2 + j).lsrc(2) := vd_old
1452189ec863SzhanglyGit            // csBundle(i*(i+3)/2 + j).lsrc(3) := VECTOR_TMP_REG_LMUL.U
1453189ec863SzhanglyGit            csBundle(i*(i+3)/2 + j).ldest := vd
1454189ec863SzhanglyGit            csBundle(i*(i+3)/2 + j).uopIdx := (i*(i+3)/2 + j).U
1455189ec863SzhanglyGit          }
1456189ec863SzhanglyGit        }
1457189ec863SzhanglyGit      }
1458aaa08c5aSxiaofeibao-xjtu      switch(vlmulReg) {
1459189ec863SzhanglyGit        is("b001".U ){
1460189ec863SzhanglyGit          genCsBundle_VEC_COMPRESS(2)
1461189ec863SzhanglyGit        }
1462189ec863SzhanglyGit        is("b010".U ){
1463189ec863SzhanglyGit          genCsBundle_VEC_COMPRESS(4)
1464189ec863SzhanglyGit        }
1465189ec863SzhanglyGit        is("b011".U ){
1466189ec863SzhanglyGit          genCsBundle_VEC_COMPRESS(8)
1467189ec863SzhanglyGit        }
1468189ec863SzhanglyGit      }
1469189ec863SzhanglyGit    }
14700a34fc22SZiyue Zhang    is(UopSplitType.VEC_MVNR) {
14710a34fc22SZiyue Zhang      for (i <- 0 until MAX_VLMUL) {
14720a34fc22SZiyue Zhang        csBundle(i).lsrc(0) := src1 + i.U
14730a34fc22SZiyue Zhang        csBundle(i).lsrc(1) := src2 + i.U
14740a34fc22SZiyue Zhang        csBundle(i).lsrc(2) := dest + i.U
14750a34fc22SZiyue Zhang        csBundle(i).ldest := dest + i.U
14760a34fc22SZiyue Zhang        csBundle(i).uopIdx := i.U
14770a34fc22SZiyue Zhang      }
14780a34fc22SZiyue Zhang    }
1479c4501a6fSZiyue-Zhang    is(UopSplitType.VEC_US_LDST) {
14804ee69032SzhanglyGit      /*
14814ee69032SzhanglyGit      FMV.D.X
14824ee69032SzhanglyGit       */
14834ee69032SzhanglyGit      csBundle(0).srcType(0) := SrcType.reg
14844ee69032SzhanglyGit      csBundle(0).srcType(1) := SrcType.imm
14854ee69032SzhanglyGit      csBundle(0).lsrc(1) := 0.U
14864ee69032SzhanglyGit      csBundle(0).ldest := FP_TMP_REG_MV.U
14874ee69032SzhanglyGit      csBundle(0).fuType := FuType.i2f.U
14884ee69032SzhanglyGit      csBundle(0).rfWen := false.B
14894ee69032SzhanglyGit      csBundle(0).fpWen := true.B
14904ee69032SzhanglyGit      csBundle(0).vecWen := false.B
14914ee69032SzhanglyGit      csBundle(0).fpu.isAddSub := false.B
14924ee69032SzhanglyGit      csBundle(0).fpu.typeTagIn := FPU.D
14934ee69032SzhanglyGit      csBundle(0).fpu.typeTagOut := FPU.D
14944ee69032SzhanglyGit      csBundle(0).fpu.fromInt := true.B
14954ee69032SzhanglyGit      csBundle(0).fpu.wflags := false.B
14964ee69032SzhanglyGit      csBundle(0).fpu.fpWen := true.B
14974ee69032SzhanglyGit      csBundle(0).fpu.div := false.B
14984ee69032SzhanglyGit      csBundle(0).fpu.sqrt := false.B
14994ee69032SzhanglyGit      csBundle(0).fpu.fcvt := false.B
1500*31c51290Szhanglinjuan      csBundle(0).vlsInstr := true.B
15014ee69032SzhanglyGit      //LMUL
15024ee69032SzhanglyGit      for (i <- 0 until MAX_VLMUL) {
15034ee69032SzhanglyGit        csBundle(i + 1).srcType(0) := SrcType.fp
15044ee69032SzhanglyGit        csBundle(i + 1).lsrc(0) := FP_TMP_REG_MV.U
15054dfab1f2Szhanglinjuan        csBundle(i + 1).lsrc(2) := dest + i.U // old vd
15064ee69032SzhanglyGit        csBundle(i + 1).ldest := dest + i.U
15074ee69032SzhanglyGit        csBundle(i + 1).uopIdx := i.U
1508*31c51290Szhanglinjuan        csBundle(i + 1).vlsInstr := true.B
15094ee69032SzhanglyGit      }
15104ee69032SzhanglyGit    }
1511c4501a6fSZiyue-Zhang    is(UopSplitType.VEC_S_LDST) {
1512c4501a6fSZiyue-Zhang      /*
1513c4501a6fSZiyue-Zhang      FMV.D.X
1514c4501a6fSZiyue-Zhang       */
1515c4501a6fSZiyue-Zhang      csBundle(0).srcType(0) := SrcType.reg
1516c4501a6fSZiyue-Zhang      csBundle(0).srcType(1) := SrcType.imm
1517c4501a6fSZiyue-Zhang      csBundle(0).lsrc(1) := 0.U
1518c4501a6fSZiyue-Zhang      csBundle(0).ldest := FP_TMP_REG_MV.U
1519c4501a6fSZiyue-Zhang      csBundle(0).fuType := FuType.i2f.U
1520c4501a6fSZiyue-Zhang      csBundle(0).rfWen := false.B
1521c4501a6fSZiyue-Zhang      csBundle(0).fpWen := true.B
1522c4501a6fSZiyue-Zhang      csBundle(0).vecWen := false.B
1523c4501a6fSZiyue-Zhang      csBundle(0).fpu.isAddSub := false.B
1524c4501a6fSZiyue-Zhang      csBundle(0).fpu.typeTagIn := FPU.D
1525c4501a6fSZiyue-Zhang      csBundle(0).fpu.typeTagOut := FPU.D
1526c4501a6fSZiyue-Zhang      csBundle(0).fpu.fromInt := true.B
1527c4501a6fSZiyue-Zhang      csBundle(0).fpu.wflags := false.B
1528c4501a6fSZiyue-Zhang      csBundle(0).fpu.fpWen := true.B
1529c4501a6fSZiyue-Zhang      csBundle(0).fpu.div := false.B
1530c4501a6fSZiyue-Zhang      csBundle(0).fpu.sqrt := false.B
1531c4501a6fSZiyue-Zhang      csBundle(0).fpu.fcvt := false.B
1532*31c51290Szhanglinjuan      csBundle(0).vlsInstr := true.B
1533c4501a6fSZiyue-Zhang
15346a926cf7SXuan Hu      csBundle(1).srcType(0) := SrcType.reg
15356a926cf7SXuan Hu      csBundle(1).srcType(1) := SrcType.imm
1536e25c13faSXuan Hu      csBundle(1).lsrc(0) := latchedInst.lsrc(1)
15376a926cf7SXuan Hu      csBundle(1).lsrc(1) := 0.U
1538c4501a6fSZiyue-Zhang      csBundle(1).ldest := VECTOR_TMP_REG_LMUL.U
1539c4501a6fSZiyue-Zhang      csBundle(1).fuType := FuType.i2f.U
1540c4501a6fSZiyue-Zhang      csBundle(1).rfWen := false.B
1541c4501a6fSZiyue-Zhang      csBundle(1).fpWen := true.B
1542c4501a6fSZiyue-Zhang      csBundle(1).vecWen := false.B
1543c4501a6fSZiyue-Zhang      csBundle(1).fpu.isAddSub := false.B
1544c4501a6fSZiyue-Zhang      csBundle(1).fpu.typeTagIn := FPU.D
1545c4501a6fSZiyue-Zhang      csBundle(1).fpu.typeTagOut := FPU.D
1546c4501a6fSZiyue-Zhang      csBundle(1).fpu.fromInt := true.B
1547c4501a6fSZiyue-Zhang      csBundle(1).fpu.wflags := false.B
1548c4501a6fSZiyue-Zhang      csBundle(1).fpu.fpWen := true.B
1549c4501a6fSZiyue-Zhang      csBundle(1).fpu.div := false.B
1550c4501a6fSZiyue-Zhang      csBundle(1).fpu.sqrt := false.B
1551c4501a6fSZiyue-Zhang      csBundle(1).fpu.fcvt := false.B
1552*31c51290Szhanglinjuan      csBundle(1).vlsInstr := true.B
1553c4501a6fSZiyue-Zhang
1554c4501a6fSZiyue-Zhang      //LMUL
1555c4501a6fSZiyue-Zhang      for (i <- 0 until MAX_VLMUL) {
1556c4501a6fSZiyue-Zhang        csBundle(i + 2).srcType(0) := SrcType.fp
15576a926cf7SXuan Hu        csBundle(i + 2).srcType(1) := SrcType.fp
1558c4501a6fSZiyue-Zhang        csBundle(i + 2).lsrc(0) := FP_TMP_REG_MV.U
1559c4501a6fSZiyue-Zhang        csBundle(i + 2).lsrc(1) := VECTOR_TMP_REG_LMUL.U
15604dfab1f2Szhanglinjuan        csBundle(i + 2).lsrc(2) := dest + i.U // old vd
1561c4501a6fSZiyue-Zhang        csBundle(i + 2).ldest := dest + i.U
1562c4501a6fSZiyue-Zhang        csBundle(i + 2).uopIdx := i.U
1563*31c51290Szhanglinjuan        csBundle(i + 2).vlsInstr := true.B
1564c4501a6fSZiyue-Zhang      }
1565c4501a6fSZiyue-Zhang    }
1566c4501a6fSZiyue-Zhang    is(UopSplitType.VEC_I_LDST) {
1567c4501a6fSZiyue-Zhang    /*
1568c4501a6fSZiyue-Zhang      FMV.D.X
1569c4501a6fSZiyue-Zhang       */
1570c4501a6fSZiyue-Zhang      val vlmul = vlmulReg
15713cb76c96Szhanglinjuan      val vsew = Cat(0.U(1.W), vsewReg)
1572c4501a6fSZiyue-Zhang      val veew = Cat(0.U(1.W), width)
1573c4501a6fSZiyue-Zhang      val vemul: UInt = veew.asUInt + 1.U + vlmul.asUInt + ~vsew.asUInt
1574c4501a6fSZiyue-Zhang      val simple_lmul = MuxLookup(vlmul, 0.U(2.W), Array(
1575c4501a6fSZiyue-Zhang        "b001".U -> 1.U,
1576c4501a6fSZiyue-Zhang        "b010".U -> 2.U,
1577c4501a6fSZiyue-Zhang        "b011".U -> 3.U
1578c4501a6fSZiyue-Zhang      ))
1579c4501a6fSZiyue-Zhang      val simple_emul = MuxLookup(vemul, 0.U(2.W), Array(
1580c4501a6fSZiyue-Zhang        "b001".U -> 1.U,
1581c4501a6fSZiyue-Zhang        "b010".U -> 2.U,
1582c4501a6fSZiyue-Zhang        "b011".U -> 3.U
1583c4501a6fSZiyue-Zhang      ))
1584c4501a6fSZiyue-Zhang      csBundle(0).srcType(0) := SrcType.reg
1585c4501a6fSZiyue-Zhang      csBundle(0).srcType(1) := SrcType.imm
1586c4501a6fSZiyue-Zhang      csBundle(0).lsrc(1) := 0.U
1587c4501a6fSZiyue-Zhang      csBundle(0).ldest := FP_TMP_REG_MV.U
1588c4501a6fSZiyue-Zhang      csBundle(0).fuType := FuType.i2f.U
1589c4501a6fSZiyue-Zhang      csBundle(0).rfWen := false.B
1590c4501a6fSZiyue-Zhang      csBundle(0).fpWen := true.B
1591c4501a6fSZiyue-Zhang      csBundle(0).vecWen := false.B
1592c4501a6fSZiyue-Zhang      csBundle(0).fpu.isAddSub := false.B
1593c4501a6fSZiyue-Zhang      csBundle(0).fpu.typeTagIn := FPU.D
1594c4501a6fSZiyue-Zhang      csBundle(0).fpu.typeTagOut := FPU.D
1595c4501a6fSZiyue-Zhang      csBundle(0).fpu.fromInt := true.B
1596c4501a6fSZiyue-Zhang      csBundle(0).fpu.wflags := false.B
1597c4501a6fSZiyue-Zhang      csBundle(0).fpu.fpWen := true.B
1598c4501a6fSZiyue-Zhang      csBundle(0).fpu.div := false.B
1599c4501a6fSZiyue-Zhang      csBundle(0).fpu.sqrt := false.B
1600c4501a6fSZiyue-Zhang      csBundle(0).fpu.fcvt := false.B
1601*31c51290Szhanglinjuan      csBundle(0).vlsInstr := true.B
1602c4501a6fSZiyue-Zhang
1603c4501a6fSZiyue-Zhang      //LMUL
1604c4501a6fSZiyue-Zhang      for (i <- 0 until MAX_INDEXED_LS_UOPNUM) {
1605c4501a6fSZiyue-Zhang        indexedLSRegOffset(i).src := Cat(simple_emul, simple_lmul, nf)
1606c4501a6fSZiyue-Zhang        val offsetVs2 = indexedLSRegOffset(i).outOffsetVs2
1607c4501a6fSZiyue-Zhang        val offsetVd = indexedLSRegOffset(i).outOffsetVd
16087e0af973Szhanglinjuan        val isFirstUopInVd = indexedLSRegOffset(i).outIsFirstUopInVd
1609c4501a6fSZiyue-Zhang        csBundle(i + 1).srcType(0) := SrcType.fp
1610c4501a6fSZiyue-Zhang        csBundle(i + 1).lsrc(0) := FP_TMP_REG_MV.U
1611c4501a6fSZiyue-Zhang        csBundle(i + 1).lsrc(1) := Mux1H(UIntToOH(offsetVs2, MAX_VLMUL), (0 until MAX_VLMUL).map(j => src2 + j.U))
16127e0af973Szhanglinjuan        /**
16137e0af973Szhanglinjuan          * For indexed instructions, VLSU will concatenate all the uops that write the same logic vd register and
16147e0af973Szhanglinjuan          * writeback only once for all these uops. However, these uops share the same lsrc(2)/old vd and the same
16157e0af973Szhanglinjuan          * ldest/vd that is equal to old vd, which leads to data dependence between the uops. Therefore there will be
16167e0af973Szhanglinjuan          * deadlock for indexed instructions with emul > lmul.
16177e0af973Szhanglinjuan          *
16187e0af973Szhanglinjuan          * Assume N = emul/lmul. To break the deadlock, only the first uop will read old vd as lsrc(2), and the rest
16197e0af973Szhanglinjuan          * N-1 uops will read temporary vector register.
16207e0af973Szhanglinjuan          */
16217e0af973Szhanglinjuan        // csBundle(i + 1).lsrc(2) := Mux1H(UIntToOH(offsetVd, MAX_VLMUL), (0 until MAX_VLMUL).map(j => dest + j.U))
16227e0af973Szhanglinjuan        csBundle(i + 1).lsrc(2) := Mux(
16237e0af973Szhanglinjuan          isFirstUopInVd,
16247e0af973Szhanglinjuan          Mux1H(UIntToOH(offsetVd, MAX_VLMUL), (0 until MAX_VLMUL).map(j => dest + j.U)),
16257e0af973Szhanglinjuan          VECTOR_TMP_REG_LMUL.U
16267e0af973Szhanglinjuan        )
1627c4501a6fSZiyue-Zhang        csBundle(i + 1).ldest := Mux1H(UIntToOH(offsetVd, MAX_VLMUL), (0 until MAX_VLMUL).map(j => dest + j.U))
1628c4501a6fSZiyue-Zhang        csBundle(i + 1).uopIdx := i.U
1629*31c51290Szhanglinjuan        csBundle(i + 1).vlsInstr := true.B
1630c4501a6fSZiyue-Zhang      }
1631c4501a6fSZiyue-Zhang    }
1632d91483a6Sfdy  }
1633d91483a6Sfdy
1634d91483a6Sfdy  //readyFromRename Counter
1635e25c13faSXuan Hu  val readyCounter = PriorityMuxDefault(outReadys.map(x => !x).zip((0 until RenameWidth).map(_.U)), RenameWidth.U)
1636e25c13faSXuan Hu
1637e25c13faSXuan Hu  // The left uops of the complex inst in ComplexDecoder can be send out this cycle
1638e25c13faSXuan Hu  val thisAllOut = uopRes <= readyCounter
1639d91483a6Sfdy
1640189ec863SzhanglyGit  switch(state) {
1641e25c13faSXuan Hu    is(s_idle) {
1642e25c13faSXuan Hu      when (inValid) {
1643e25c13faSXuan Hu        stateNext := s_active
1644e25c13faSXuan Hu        uopResNext := inUopInfo.numOfUop
1645d91483a6Sfdy      }
1646e25c13faSXuan Hu    }
1647e25c13faSXuan Hu    is(s_active) {
1648e25c13faSXuan Hu      when (thisAllOut) {
1649e25c13faSXuan Hu        when (inValid) {
1650e25c13faSXuan Hu          stateNext := s_active
1651e25c13faSXuan Hu          uopResNext := inUopInfo.numOfUop
1652e25c13faSXuan Hu        }.otherwise {
1653e25c13faSXuan Hu          stateNext := s_idle
1654e25c13faSXuan Hu          uopResNext := 0.U
1655e25c13faSXuan Hu        }
1656e25c13faSXuan Hu      }.otherwise {
1657e25c13faSXuan Hu        stateNext := s_active
1658e25c13faSXuan Hu        uopResNext := uopRes - readyCounter
1659e25c13faSXuan Hu      }
1660d91483a6Sfdy    }
1661d91483a6Sfdy  }
1662d91483a6Sfdy
1663e25c13faSXuan Hu  state := Mux(io.redirect, s_idle, stateNext)
1664e25c13faSXuan Hu  uopRes := Mux(io.redirect, 0.U, uopResNext)
1665189ec863SzhanglyGit
1666e25c13faSXuan Hu  val complexNum = Mux(uopRes > readyCounter, readyCounter, uopRes)
1667d91483a6Sfdy
1668d91483a6Sfdy  for(i <- 0 until RenameWidth) {
1669e25c13faSXuan Hu    outValids(i) := complexNum > i.U
1670e25c13faSXuan Hu    outDecodedInsts(i) := Mux((i.U + numOfUop - uopRes) < maxUopSize.U, csBundle(i.U + numOfUop - uopRes), csBundle(maxUopSize - 1))
1671d91483a6Sfdy  }
1672d91483a6Sfdy
1673e25c13faSXuan Hu  outComplexNum := Mux(state === s_active, complexNum, 0.U)
1674e25c13faSXuan Hu  inReady := state === s_idle || state === s_active && thisAllOut
1675d91483a6Sfdy
1676e25c13faSXuan Hu//  val validSimple = Wire(Vec(DecodeWidth, Bool()))
1677e25c13faSXuan Hu//  validSimple.zip(io.validFromIBuf.zip(io.isComplex)).map{ case (dst, (src1, src2)) => dst := src1 && !src2 }
1678e25c13faSXuan Hu//  val notInf = Wire(Vec(DecodeWidth, Bool()))
1679e25c13faSXuan Hu//  notInf.drop(1).zip(io.validFromIBuf.drop(1).zip(validSimple.drop(1))).map{ case (dst, (src1, src2)) => dst := !src1 || src2 }
1680e25c13faSXuan Hu//  notInf(0) := !io.validFromIBuf(0) || validSimple(0) || (io.isComplex(0) && io.in0pc === io.simple.decodedInst.pc)
1681e25c13faSXuan Hu//  val notInfVec = Wire(Vec(DecodeWidth, Bool()))
1682e25c13faSXuan Hu//  notInfVec.zipWithIndex.map{ case (dst, i) => dst := Cat(notInf.take(i + 1)).andR}
1683e25c13faSXuan Hu//
1684e25c13faSXuan Hu//  complexNum := Mux(io.validFromIBuf(0) && readyCounter.orR ,
1685e25c13faSXuan Hu//    Mux(uopRes0 > readyCounter, readyCounter, uopRes0),
1686e25c13faSXuan Hu//    0.U)
1687e25c13faSXuan Hu//  validToRename.zipWithIndex.foreach{
1688e25c13faSXuan Hu//    case(dst, i) =>
1689e25c13faSXuan Hu//      val validFix = Mux(complexNum.orR, validSimple((i+1).U - complexNum), validSimple(i))
1690e25c13faSXuan Hu//      dst := MuxCase(false.B, Seq(
1691e25c13faSXuan Hu//        (io.validFromIBuf(0) && readyCounter.orR && uopRes0 > readyCounter) -> Mux(readyCounter > i.U, true.B, false.B),
1692e25c13faSXuan Hu//        (io.validFromIBuf(0) && readyCounter.orR && !(uopRes0 > readyCounter)) -> Mux(complexNum > i.U, true.B, validFix && notInfVec(i.U - complexNum) && io.readyFromRename(i)),
1693e25c13faSXuan Hu//      ).toSeq)
1694e25c13faSXuan Hu//  }
1695e25c13faSXuan Hu//
1696e25c13faSXuan Hu//  readyToIBuf.zipWithIndex.foreach {
1697e25c13faSXuan Hu//    case (dst, i) =>
1698e25c13faSXuan Hu//      val readyToIBuf0 = Mux(io.isComplex(0), io.in0pc === io.simple.decodedInst.pc, true.B)
1699e25c13faSXuan Hu//      dst := MuxCase(true.B, Seq(
1700e25c13faSXuan Hu//        (io.validFromIBuf(0) && uopRes0 > readyCounter || !readyCounter.orR) -> false.B,
1701e25c13faSXuan Hu//        (io.validFromIBuf(0) && !(uopRes0 > readyCounter) && readyCounter.orR) -> (if (i==0) readyToIBuf0 else Mux(RenameWidth.U - complexNum >= i.U, notInfVec(i) && validSimple(i) && io.readyFromRename(i), false.B))
1702e25c13faSXuan Hu//      ).toSeq)
1703e25c13faSXuan Hu//  }
1704e25c13faSXuan Hu//
1705e25c13faSXuan Hu//  io.deq.decodedInsts := decodedInsts
1706e25c13faSXuan Hu//  io.deq.complexNum := complexNum
1707e25c13faSXuan Hu//  io.deq.validToRename := validToRename
1708e25c13faSXuan Hu//  io.deq.readyToIBuf := readyToIBuf
1709d91483a6Sfdy}
1710