xref: /XiangShan/src/main/scala/xiangshan/backend/decode/DecodeUnitComp.scala (revision 17d9db4e071cab58efec7cd6b4caa7f4c819b0bf)
1d91483a6Sfdy/***************************************************************************************
2d91483a6Sfdy  * Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3d91483a6Sfdy  * Copyright (c) 2020-2021 Peng Cheng Laboratory
4d91483a6Sfdy  *
5d91483a6Sfdy  * XiangShan is licensed under Mulan PSL v2.
6d91483a6Sfdy  * You can use this software according to the terms and conditions of the Mulan PSL v2.
7d91483a6Sfdy  * You may obtain a copy of Mulan PSL v2 at:
8d91483a6Sfdy  *          http://license.coscl.org.cn/MulanPSL2
9d91483a6Sfdy  *
10d91483a6Sfdy  * THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11d91483a6Sfdy  * EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12d91483a6Sfdy  * MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13d91483a6Sfdy  *
14d91483a6Sfdy  * See the Mulan PSL v2 for more details.
15d91483a6Sfdy  ***************************************************************************************/
16d91483a6Sfdy
17d91483a6Sfdypackage xiangshan.backend.decode
18d91483a6Sfdy
1983ba63b3SXuan Huimport org.chipsalliance.cde.config.Parameters
20d91483a6Sfdyimport chisel3._
21d91483a6Sfdyimport chisel3.util._
22d91483a6Sfdyimport freechips.rocketchip.rocket.Instructions
23d91483a6Sfdyimport freechips.rocketchip.util.uintToBitPat
24d91483a6Sfdyimport utils._
25d91483a6Sfdyimport utility._
26d91483a6Sfdyimport xiangshan.ExceptionNO.illegalInstr
27d91483a6Sfdyimport xiangshan._
28d91483a6Sfdyimport xiangshan.backend.fu.fpu.FPU
29d91483a6Sfdyimport xiangshan.backend.fu.FuType
30d91483a6Sfdyimport freechips.rocketchip.rocket.Instructions._
31d91483a6Sfdyimport xiangshan.backend.Bundles.{DecodedInst, StaticInst}
3298cfe81bSxgkiriimport xiangshan.backend.decode.isa.bitfield.XSInstBitFields
33582849ffSxiaofeibao-xjtuimport xiangshan.backend.fu.vector.Bundles.{VSew, VType, VLmul}
34d91483a6Sfdyimport yunsuan.VpermType
35d91483a6Sfdyimport scala.collection.Seq
36c4501a6fSZiyue-Zhangimport chisel3.util.experimental.decode.{QMCMinimizer, TruthTable, decoder}
37c4501a6fSZiyue-Zhang
38c4501a6fSZiyue-Zhangclass indexedLSUopTable(uopIdx:Int) extends Module {
3955f7bedaSZiyue Zhang  val src = IO(Input(UInt(4.W)))
40c4501a6fSZiyue-Zhang  val outOffsetVs2 = IO(Output(UInt(3.W)))
41c4501a6fSZiyue-Zhang  val outOffsetVd = IO(Output(UInt(3.W)))
4255f7bedaSZiyue Zhang  def genCsBundle_VEC_INDEXED_LDST(lmul:Int, emul:Int, uopIdx:Int): (Int, Int) ={
4355f7bedaSZiyue Zhang    // only consider non segment indexed load/store
44c4501a6fSZiyue-Zhang    if (lmul < emul) {    // lmul < emul, uop num is depend on emul * nf
45c4501a6fSZiyue-Zhang      var offset = 1 << (emul - lmul)
46de785770Szhanglinjuan      for (i <- 0 until (1 << emul)) {
4755f7bedaSZiyue Zhang        if (uopIdx == i) {
4855f7bedaSZiyue Zhang          return (i, i / offset)
49c4501a6fSZiyue-Zhang        }
50c4501a6fSZiyue-Zhang      }
51c379dcbeSZiyue-Zhang    } else {              // lmul > emul, uop num is depend on lmul * nf
52c4501a6fSZiyue-Zhang      var offset = 1 << (lmul - emul)
53de785770Szhanglinjuan      for (i <- 0 until (1 << lmul)) {
5455f7bedaSZiyue Zhang        if (uopIdx == i) {
5555f7bedaSZiyue Zhang          return (i / offset, i)
56c4501a6fSZiyue-Zhang        }
57c4501a6fSZiyue-Zhang      }
58c4501a6fSZiyue-Zhang    }
5955f7bedaSZiyue Zhang    return (0, 0)
60c4501a6fSZiyue-Zhang  }
61c4501a6fSZiyue-Zhang  // strided load/store
6255f7bedaSZiyue Zhang  var combVemulNf : Seq[(Int, Int, Int, Int)] = Seq()
63c4501a6fSZiyue-Zhang  for (emul <- 0 until 4) {
64c4501a6fSZiyue-Zhang    for (lmul <- 0 until 4) {
6555f7bedaSZiyue Zhang      var offset = genCsBundle_VEC_INDEXED_LDST(lmul, emul, uopIdx)
66c4501a6fSZiyue-Zhang      var offsetVs2 = offset._1
67c4501a6fSZiyue-Zhang      var offsetVd = offset._2
6855f7bedaSZiyue Zhang      combVemulNf :+= (emul, lmul, offsetVs2, offsetVd)
69c4501a6fSZiyue-Zhang    }
70c4501a6fSZiyue-Zhang  }
710cd00663SzhanglyGit  val out = decoder(QMCMinimizer, src, TruthTable(combVemulNf.map {
7255f7bedaSZiyue Zhang    case (emul, lmul, offsetVs2, offsetVd) =>
7355f7bedaSZiyue Zhang      (BitPat((emul << 2 | lmul).U(4.W)), BitPat((offsetVs2 << 3 | offsetVd).U(6.W)))
7455f7bedaSZiyue Zhang  }, BitPat.N(6)))
75c4501a6fSZiyue-Zhang  outOffsetVs2 := out(5, 3)
76c4501a6fSZiyue-Zhang  outOffsetVd := out(2, 0)
77c4501a6fSZiyue-Zhang}
78d91483a6Sfdy
79d91483a6Sfdytrait VectorConstants {
80d91483a6Sfdy  val MAX_VLMUL = 8
81d91483a6Sfdy  val FP_TMP_REG_MV = 32
82189ec863SzhanglyGit  val VECTOR_TMP_REG_LMUL = 33 // 33~47  ->  15
83c4501a6fSZiyue-Zhang  val MAX_INDEXED_LS_UOPNUM = 64
84d91483a6Sfdy}
85d91483a6Sfdy
86d91483a6Sfdyclass DecodeUnitCompIO(implicit p: Parameters) extends XSBundle {
87e25c13faSXuan Hu  val redirect = Input(Bool())
88d91483a6Sfdy  val csrCtrl = Input(new CustomCSRCtrlIO)
8996a12457Ssinsanction  val vtypeBypass = Input(new VType)
90e25c13faSXuan Hu  // When the first inst in decode vector is complex inst, pass it in
91e25c13faSXuan Hu  val in = Flipped(DecoupledIO(new Bundle {
92e25c13faSXuan Hu    val simpleDecodedInst = new DecodedInst
93e25c13faSXuan Hu    val uopInfo = new UopInfo
94e25c13faSXuan Hu  }))
95e25c13faSXuan Hu  val out = new Bundle {
96e25c13faSXuan Hu    val complexDecodedInsts = Vec(RenameWidth, DecoupledIO(new DecodedInst))
97e25c13faSXuan Hu  }
98e25c13faSXuan Hu  val complexNum = Output(UInt(3.W))
99d91483a6Sfdy}
10017ec87f2SXuan Hu
101d91483a6Sfdy/**
102d91483a6Sfdy  * @author zly
103d91483a6Sfdy  */
104d91483a6Sfdyclass DecodeUnitComp()(implicit p : Parameters) extends XSModule with DecodeUnitConstants with VectorConstants {
105d91483a6Sfdy  val io = IO(new DecodeUnitCompIO)
106d91483a6Sfdy
107e25c13faSXuan Hu  // alias
108e25c13faSXuan Hu  private val inReady = io.in.ready
109e25c13faSXuan Hu  private val inValid = io.in.valid
110e25c13faSXuan Hu  private val inDecodedInst = WireInit(io.in.bits.simpleDecodedInst)
111229ab603SXuan Hu  private val inInstFields = io.in.bits.simpleDecodedInst.instr.asTypeOf(new XSInstBitFields)
112e25c13faSXuan Hu  private val inUopInfo = io.in.bits.uopInfo
113e25c13faSXuan Hu  private val outValids = io.out.complexDecodedInsts.map(_.valid)
114e25c13faSXuan Hu  private val outReadys = io.out.complexDecodedInsts.map(_.ready)
115e25c13faSXuan Hu  private val outDecodedInsts = io.out.complexDecodedInsts.map(_.bits)
116e25c13faSXuan Hu  private val outComplexNum = io.complexNum
117e25c13faSXuan Hu
118d91483a6Sfdy  val maxUopSize = MaxUopSize
119229ab603SXuan Hu  when (io.in.fire && io.in.bits.simpleDecodedInst.isVset) {
120229ab603SXuan Hu    when(inInstFields.RD === 0.U && inInstFields.RS1 === 0.U) {
121229ab603SXuan Hu      inDecodedInst.fuOpType := VSETOpType.keepVl(io.in.bits.simpleDecodedInst.fuOpType)
122229ab603SXuan Hu    }.elsewhen(inInstFields.RS1 === 0.U) {
123229ab603SXuan Hu      inDecodedInst.fuOpType := VSETOpType.setVlmax(io.in.bits.simpleDecodedInst.fuOpType)
124229ab603SXuan Hu    }
125229ab603SXuan Hu  }
126229ab603SXuan Hu
127e25c13faSXuan Hu  val latchedInst = RegEnable(inDecodedInst, inValid && inReady)
128e25c13faSXuan Hu  val latchedUopInfo = RegEnable(inUopInfo, inValid && inReady)
129d91483a6Sfdy  //input bits
130e25c13faSXuan Hu  private val instFields: XSInstBitFields = latchedInst.instr.asTypeOf(new XSInstBitFields)
131d91483a6Sfdy
132e25c13faSXuan Hu  val src1 = Cat(0.U(1.W), instFields.RS1)
133e25c13faSXuan Hu  val src2 = Cat(0.U(1.W), instFields.RS2)
134e25c13faSXuan Hu  val dest = Cat(0.U(1.W), instFields.RD)
1357f9f0a79SzhanglyGit
136e25c13faSXuan Hu  val nf    = instFields.NF
137e25c13faSXuan Hu  val width = instFields.WIDTH(1, 0)
138d91483a6Sfdy
139d91483a6Sfdy  //output of DecodeUnit
140e25c13faSXuan Hu  val numOfUop = Wire(UInt(log2Up(maxUopSize).W))
141e25c13faSXuan Hu  val numOfWB = Wire(UInt(log2Up(maxUopSize).W))
1427f9f0a79SzhanglyGit  val lmul = Wire(UInt(4.W))
143189ec863SzhanglyGit  val isVsetSimple = Wire(Bool())
144d91483a6Sfdy
14555f7bedaSZiyue Zhang  val indexedLSRegOffset = Seq.tabulate(MAX_VLMUL)(i => Module(new indexedLSUopTable(i)))
146c4501a6fSZiyue-Zhang  indexedLSRegOffset.map(_.src := 0.U)
147c4501a6fSZiyue-Zhang
148d91483a6Sfdy  //pre decode
149e25c13faSXuan Hu  lmul := latchedUopInfo.lmul
150e25c13faSXuan Hu  isVsetSimple := latchedInst.isVset
151e25c13faSXuan Hu  val vlmulReg = latchedInst.vpu.vlmul
152e25c13faSXuan Hu  val vsewReg = latchedInst.vpu.vsew
153229ab603SXuan Hu
154d91483a6Sfdy  //Type of uop Div
155e25c13faSXuan Hu  val typeOfSplit = latchedInst.uopSplitType
156e25c13faSXuan Hu  val src1Type = latchedInst.srcType(0)
157d6059658SZiyue Zhang  val src1IsImm = src1Type === SrcType.imm
158395c8649SZiyue-Zhang  val src1IsFp = src1Type === SrcType.fp
159d91483a6Sfdy
160e25c13faSXuan Hu  numOfUop := latchedUopInfo.numOfUop
161e25c13faSXuan Hu  numOfWB := latchedUopInfo.numOfWB
162e25c13faSXuan Hu
163e25c13faSXuan Hu  //uops dispatch
164e25c13faSXuan Hu  val s_idle :: s_active :: Nil = Enum(2)
165e25c13faSXuan Hu  val state = RegInit(s_idle)
166e25c13faSXuan Hu  val stateNext = WireDefault(state)
167e25c13faSXuan Hu  val numDecodedUop = RegInit(0.U(log2Up(maxUopSize).W))
168e25c13faSXuan Hu  val uopRes = RegInit(0.U(log2Up(maxUopSize).W))
169e25c13faSXuan Hu  val uopResNext = WireInit(uopRes)
170964d9a87SZiyue Zhang  val e64 = 3.U(2.W)
1714aa00286SXuan Hu  val isUsSegment = instFields.MOP === 0.U && nf =/= 0.U && (instFields.LUMOP === 0.U || instFields.LUMOP === "b10000".U)
1724aa00286SXuan Hu  val isIxSegment = instFields.MOP(0) === 1.U && nf =/= 0.U
1734aa00286SXuan Hu  val isSdSegment = instFields.MOP === "b10".U && nf =/= 0.U
1747f9f0a79SzhanglyGit
175d91483a6Sfdy  //uop div up to maxUopSize
176d91483a6Sfdy  val csBundle = Wire(Vec(maxUopSize, new DecodedInst))
177e25c13faSXuan Hu  csBundle.foreach { case dst =>
178e25c13faSXuan Hu    dst := latchedInst
179e25c13faSXuan Hu    dst.numUops := latchedUopInfo.numOfUop
180e25c13faSXuan Hu    dst.numWB := latchedUopInfo.numOfWB
181d91483a6Sfdy    dst.firstUop := false.B
182d91483a6Sfdy    dst.lastUop := false.B
18331c51290Szhanglinjuan    dst.vlsInstr := false.B
184d91483a6Sfdy  }
185d91483a6Sfdy
186d91483a6Sfdy  csBundle(0).firstUop := true.B
187d91483a6Sfdy  csBundle(numOfUop - 1.U).lastUop := true.B
188d91483a6Sfdy
189189ec863SzhanglyGit  switch(typeOfSplit) {
190e25c13faSXuan Hu    is(UopSplitType.VSET) {
1914cdab2a9SXuan Hu      // In simple decoder, rfWen and vecWen are not set
192189ec863SzhanglyGit      when(isVsetSimple) {
1934cdab2a9SXuan Hu        // Default
1944cdab2a9SXuan Hu        // uop0 set rd, never flushPipe
195d91483a6Sfdy        csBundle(0).fuType := FuType.vsetiwi.U
196d91483a6Sfdy        csBundle(0).flushPipe := false.B
197d91483a6Sfdy        csBundle(0).rfWen := true.B
1984cdab2a9SXuan Hu        // uop1 set vl, vsetvl will flushPipe
199cb10a55bSXuan Hu        csBundle(1).ldest := VCONFIG_IDX.U
200fe60541bSXuan Hu        csBundle(1).vecWen := true.B
2014cdab2a9SXuan Hu        when(VSETOpType.isVsetvli(latchedInst.fuOpType) && dest === 0.U && src1 === 0.U) {
202d8ceb649SZiyue Zhang          // write nothing, uop0 is a nop instruction
203d8ceb649SZiyue Zhang          csBundle(0).rfWen := false.B
204d8ceb649SZiyue Zhang          csBundle(0).fpWen := false.B
205d8ceb649SZiyue Zhang          csBundle(0).vecWen := false.B
2064cdab2a9SXuan Hu          csBundle(1).fuType := FuType.vsetfwf.U
2074cdab2a9SXuan Hu          csBundle(1).srcType(0) := SrcType.vp
2084cdab2a9SXuan Hu          csBundle(1).lsrc(0) := VCONFIG_IDX.U
2094cdab2a9SXuan Hu        }.elsewhen(VSETOpType.isVsetvl(latchedInst.fuOpType) && dest === 0.U && src1 === 0.U) {
2104cdab2a9SXuan Hu          // uop0: mv vtype gpr to vector region
2114cdab2a9SXuan Hu          csBundle(0).srcType(0) := SrcType.xp
2124cdab2a9SXuan Hu          csBundle(0).srcType(1) := SrcType.no
213d91483a6Sfdy          csBundle(0).lsrc(1) := 0.U
214d91483a6Sfdy          csBundle(0).ldest := FP_TMP_REG_MV.U
215964d9a87SZiyue Zhang          csBundle(0).fuType := FuType.i2v.U
216964d9a87SZiyue Zhang          csBundle(0).fuOpType := Cat(IF2VectorType.i2Vec(2, 0), e64)
217964d9a87SZiyue Zhang          csBundle(0).rfWen := false.B
218d91483a6Sfdy          csBundle(0).fpWen := true.B
219964d9a87SZiyue Zhang          csBundle(0).vecWen := false.B
220d91483a6Sfdy          csBundle(0).flushPipe := false.B
2214cdab2a9SXuan Hu          // uop1: uvsetvcfg_vv
222d91483a6Sfdy          csBundle(1).fuType := FuType.vsetfwf.U
2234cdab2a9SXuan Hu          // vl
224d91483a6Sfdy          csBundle(1).srcType(0) := SrcType.vp
225cb10a55bSXuan Hu          csBundle(1).lsrc(0) := VCONFIG_IDX.U
2264cdab2a9SXuan Hu          // vtype
227d91483a6Sfdy          csBundle(1).srcType(1) := SrcType.fp
228d91483a6Sfdy          csBundle(1).lsrc(1) := FP_TMP_REG_MV.U
2294cdab2a9SXuan Hu          csBundle(1).vecWen := true.B
230cb10a55bSXuan Hu          csBundle(1).ldest := VCONFIG_IDX.U
231*17d9db4eSZiyue Zhang        }.elsewhen(dest === 0.U) {
232*17d9db4eSZiyue Zhang          // write nothing, uop0 is a nop instruction
233*17d9db4eSZiyue Zhang          csBundle(0).rfWen := false.B
234*17d9db4eSZiyue Zhang          csBundle(0).fpWen := false.B
235*17d9db4eSZiyue Zhang          csBundle(0).vecWen := false.B
236d91483a6Sfdy        }
23796a12457Ssinsanction        // use bypass vtype from vtypeGen
23896a12457Ssinsanction        csBundle(0).vpu.connectVType(io.vtypeBypass)
23996a12457Ssinsanction        csBundle(1).vpu.connectVType(io.vtypeBypass)
240d91483a6Sfdy      }
241d91483a6Sfdy    }
24217ec87f2SXuan Hu    is(UopSplitType.VEC_VVV) {
243d91483a6Sfdy      for (i <- 0 until MAX_VLMUL) {
244d91483a6Sfdy        csBundle(i).lsrc(0) := src1 + i.U
245d91483a6Sfdy        csBundle(i).lsrc(1) := src2 + i.U
246d91483a6Sfdy        csBundle(i).lsrc(2) := dest + i.U
247d91483a6Sfdy        csBundle(i).ldest := dest + i.U
248d91483a6Sfdy        csBundle(i).uopIdx := i.U
249d91483a6Sfdy      }
250d91483a6Sfdy    }
251684d7aceSxiaofeibao-xjtu    is(UopSplitType.VEC_VFV) {
252395c8649SZiyue-Zhang      /*
253395c8649SZiyue-Zhang      i to vector move
254395c8649SZiyue-Zhang       */
255395c8649SZiyue-Zhang      csBundle(0).srcType(0) := SrcType.fp
256395c8649SZiyue-Zhang      csBundle(0).srcType(1) := SrcType.imm
257395c8649SZiyue-Zhang      csBundle(0).lsrc(1) := 0.U
258395c8649SZiyue-Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
259395c8649SZiyue-Zhang      csBundle(0).fuType := FuType.f2v.U
260395c8649SZiyue-Zhang      csBundle(0).fuOpType := Cat(IF2VectorType.fDup2Vec(2, 0), vsewReg)
261395c8649SZiyue-Zhang      csBundle(0).vecWen := true.B
262783a1d5fSlewislzh      csBundle(0).vpu.isReverse := false.B
263395c8649SZiyue-Zhang      /*
264395c8649SZiyue-Zhang      LMUL
265395c8649SZiyue-Zhang       */
266684d7aceSxiaofeibao-xjtu      for (i <- 0 until MAX_VLMUL) {
267395c8649SZiyue-Zhang        csBundle(i + 1).srcType(0) := SrcType.vp
268395c8649SZiyue-Zhang        csBundle(i + 1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
269395c8649SZiyue-Zhang        csBundle(i + 1).lsrc(1) := src2 + i.U
270395c8649SZiyue-Zhang        csBundle(i + 1).lsrc(2) := dest + i.U
271395c8649SZiyue-Zhang        csBundle(i + 1).ldest := dest + i.U
272395c8649SZiyue-Zhang        csBundle(i + 1).uopIdx := i.U
273684d7aceSxiaofeibao-xjtu      }
274684d7aceSxiaofeibao-xjtu    }
27517ec87f2SXuan Hu    is(UopSplitType.VEC_EXT2) {
276d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 2) {
277d91483a6Sfdy        csBundle(2 * i).lsrc(1) := src2 + i.U
278d91483a6Sfdy        csBundle(2 * i).lsrc(2) := dest + (2 * i).U
279d91483a6Sfdy        csBundle(2 * i).ldest := dest + (2 * i).U
280d91483a6Sfdy        csBundle(2 * i).uopIdx := (2 * i).U
281d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + i.U
282d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := dest + (2 * i + 1).U
283d91483a6Sfdy        csBundle(2 * i + 1).ldest := dest + (2 * i + 1).U
284d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i + 1).U
285d91483a6Sfdy      }
286d91483a6Sfdy    }
28717ec87f2SXuan Hu    is(UopSplitType.VEC_EXT4) {
288d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 4) {
289d91483a6Sfdy        csBundle(4 * i).lsrc(1) := src2 + i.U
290d91483a6Sfdy        csBundle(4 * i).lsrc(2) := dest + (4 * i).U
291d91483a6Sfdy        csBundle(4 * i).ldest := dest + (4 * i).U
292d91483a6Sfdy        csBundle(4 * i).uopIdx := (4 * i).U
293d91483a6Sfdy        csBundle(4 * i + 1).lsrc(1) := src2 + i.U
294d91483a6Sfdy        csBundle(4 * i + 1).lsrc(2) := dest + (4 * i + 1).U
295d91483a6Sfdy        csBundle(4 * i + 1).ldest := dest + (4 * i + 1).U
296d91483a6Sfdy        csBundle(4 * i + 1).uopIdx := (4 * i + 1).U
297d91483a6Sfdy        csBundle(4 * i + 2).lsrc(1) := src2 + i.U
298d91483a6Sfdy        csBundle(4 * i + 2).lsrc(2) := dest + (4 * i + 2).U
299d91483a6Sfdy        csBundle(4 * i + 2).ldest := dest + (4 * i + 2).U
300d91483a6Sfdy        csBundle(4 * i + 2).uopIdx := (4 * i + 2).U
301d91483a6Sfdy        csBundle(4 * i + 3).lsrc(1) := src2 + i.U
302d91483a6Sfdy        csBundle(4 * i + 3).lsrc(2) := dest + (4 * i + 3).U
303d91483a6Sfdy        csBundle(4 * i + 3).ldest := dest + (4 * i + 3).U
304d91483a6Sfdy        csBundle(4 * i + 3).uopIdx := (4 * i + 3).U
305d91483a6Sfdy      }
306d91483a6Sfdy    }
30717ec87f2SXuan Hu    is(UopSplitType.VEC_EXT8) {
308d91483a6Sfdy      for (i <- 0 until MAX_VLMUL) {
309d91483a6Sfdy        csBundle(i).lsrc(1) := src2
310d91483a6Sfdy        csBundle(i).lsrc(2) := dest + i.U
311d91483a6Sfdy        csBundle(i).ldest := dest + i.U
312d91483a6Sfdy        csBundle(i).uopIdx := i.U
313d91483a6Sfdy      }
314d91483a6Sfdy    }
31517ec87f2SXuan Hu    is(UopSplitType.VEC_0XV) {
316d91483a6Sfdy      /*
317395c8649SZiyue-Zhang      i/f to vector move
318d91483a6Sfdy       */
319395c8649SZiyue-Zhang      csBundle(0).srcType(0) := Mux(src1IsFp, SrcType.fp, SrcType.reg)
320d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
321d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
3227c67deccSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
323395c8649SZiyue-Zhang      csBundle(0).fuType := Mux(src1IsFp, FuType.f2v.U, FuType.i2v.U)
324395c8649SZiyue-Zhang      csBundle(0).fuOpType := Cat(Mux(src1IsFp, IF2VectorType.fDup2Vec(2, 0), IF2VectorType.i2Vec(2, 0)), vsewReg)
325d91483a6Sfdy      csBundle(0).rfWen := false.B
3267c67deccSZiyue Zhang      csBundle(0).fpWen := false.B
3277c67deccSZiyue Zhang      csBundle(0).vecWen := true.B
328d91483a6Sfdy      /*
3297c67deccSZiyue Zhang      vmv.s.x
330d91483a6Sfdy       */
3317c67deccSZiyue Zhang      csBundle(1).srcType(0) := SrcType.vp
3327c67deccSZiyue Zhang      csBundle(1).srcType(1) := SrcType.imm
333d91483a6Sfdy      csBundle(1).srcType(2) := SrcType.vp
3347c67deccSZiyue Zhang      csBundle(1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
335d91483a6Sfdy      csBundle(1).lsrc(1) := 0.U
336d91483a6Sfdy      csBundle(1).lsrc(2) := dest
337d91483a6Sfdy      csBundle(1).ldest := dest
338d91483a6Sfdy      csBundle(1).rfWen := false.B
339d91483a6Sfdy      csBundle(1).fpWen := false.B
340d91483a6Sfdy      csBundle(1).vecWen := true.B
3417c67deccSZiyue Zhang      csBundle(1).uopIdx := 0.U
342d91483a6Sfdy    }
34317ec87f2SXuan Hu    is(UopSplitType.VEC_VXV) {
344d91483a6Sfdy      /*
345d6059658SZiyue Zhang      i to vector move
346d91483a6Sfdy       */
347d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
348d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
349d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
350fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
351fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
352b1712600SZiyue Zhang      csBundle(0).fuOpType := Cat(Mux(src1IsImm, IF2VectorType.immDup2Vec(2, 0), IF2VectorType.iDup2Vec(2, 0)), vsewReg)
353fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
354783a1d5fSlewislzh      csBundle(0).vpu.isReverse := false.B
355fc85f18fSZiyue Zhang      /*
356fc85f18fSZiyue Zhang      LMUL
357fc85f18fSZiyue Zhang       */
358fc85f18fSZiyue Zhang      for (i <- 0 until MAX_VLMUL) {
359fc85f18fSZiyue Zhang        csBundle(i + 1).srcType(0) := SrcType.vp
360fc85f18fSZiyue Zhang        csBundle(i + 1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
361d91483a6Sfdy        csBundle(i + 1).lsrc(1) := src2 + i.U
362d91483a6Sfdy        csBundle(i + 1).lsrc(2) := dest + i.U
363d91483a6Sfdy        csBundle(i + 1).ldest := dest + i.U
364d91483a6Sfdy        csBundle(i + 1).uopIdx := i.U
365d91483a6Sfdy      }
366d91483a6Sfdy    }
36717ec87f2SXuan Hu    is(UopSplitType.VEC_VVW) {
368d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 2) {
369d91483a6Sfdy        csBundle(2 * i).lsrc(0) := src1 + i.U
370d91483a6Sfdy        csBundle(2 * i).lsrc(1) := src2 + i.U
371d91483a6Sfdy        csBundle(2 * i).lsrc(2) := dest + (2 * i).U
372d91483a6Sfdy        csBundle(2 * i).ldest := dest + (2 * i).U
373d91483a6Sfdy        csBundle(2 * i).uopIdx := (2 * i).U
374d91483a6Sfdy        csBundle(2 * i + 1).lsrc(0) := src1 + i.U
375d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + i.U
376d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := dest + (2 * i + 1).U
377d91483a6Sfdy        csBundle(2 * i + 1).ldest := dest + (2 * i + 1).U
378d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i + 1).U
379d91483a6Sfdy      }
380d91483a6Sfdy    }
3813748ec56Sxiaofeibao-xjtu    is(UopSplitType.VEC_VFW) {
382395c8649SZiyue-Zhang      /*
383395c8649SZiyue-Zhang      f to vector move
384395c8649SZiyue-Zhang       */
385395c8649SZiyue-Zhang      csBundle(0).srcType(0) := SrcType.fp
386395c8649SZiyue-Zhang      csBundle(0).srcType(1) := SrcType.imm
387395c8649SZiyue-Zhang      csBundle(0).lsrc(1) := 0.U
388395c8649SZiyue-Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
389395c8649SZiyue-Zhang      csBundle(0).fuType := FuType.f2v.U
390395c8649SZiyue-Zhang      csBundle(0).fuOpType := Cat(IF2VectorType.fDup2Vec(2, 0), vsewReg)
391395c8649SZiyue-Zhang      csBundle(0).rfWen := false.B
392395c8649SZiyue-Zhang      csBundle(0).fpWen := false.B
393395c8649SZiyue-Zhang      csBundle(0).vecWen := true.B
394395c8649SZiyue-Zhang
3953748ec56Sxiaofeibao-xjtu      for (i <- 0 until MAX_VLMUL / 2) {
396395c8649SZiyue-Zhang        csBundle(2 * i + 1).srcType(0) := SrcType.vp
397395c8649SZiyue-Zhang        csBundle(2 * i + 1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
3983748ec56Sxiaofeibao-xjtu        csBundle(2 * i + 1).lsrc(1) := src2 + i.U
399395c8649SZiyue-Zhang        csBundle(2 * i + 1).lsrc(2) := dest + (2 * i).U
400395c8649SZiyue-Zhang        csBundle(2 * i + 1).ldest := dest + (2 * i).U
401395c8649SZiyue-Zhang        csBundle(2 * i + 1).uopIdx := (2 * i).U
402395c8649SZiyue-Zhang        csBundle(2 * i + 2).srcType(0) := SrcType.vp
403395c8649SZiyue-Zhang        csBundle(2 * i + 2).lsrc(0) := VECTOR_TMP_REG_LMUL.U
404395c8649SZiyue-Zhang        csBundle(2 * i + 2).lsrc(1) := src2 + i.U
405395c8649SZiyue-Zhang        csBundle(2 * i + 2).lsrc(2) := dest + (2 * i + 1).U
406395c8649SZiyue-Zhang        csBundle(2 * i + 2).ldest := dest + (2 * i + 1).U
407395c8649SZiyue-Zhang        csBundle(2 * i + 2).uopIdx := (2 * i + 1).U
4083748ec56Sxiaofeibao-xjtu      }
4093748ec56Sxiaofeibao-xjtu    }
41017ec87f2SXuan Hu    is(UopSplitType.VEC_WVW) {
411d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 2) {
412d91483a6Sfdy        csBundle(2 * i).lsrc(0) := src1 + i.U
413d91483a6Sfdy        csBundle(2 * i).lsrc(1) := src2 + (2 * i).U
414d91483a6Sfdy        csBundle(2 * i).lsrc(2) := dest + (2 * i).U
415d91483a6Sfdy        csBundle(2 * i).ldest := dest + (2 * i).U
416d91483a6Sfdy        csBundle(2 * i).uopIdx := (2 * i).U
417d91483a6Sfdy        csBundle(2 * i + 1).lsrc(0) := src1 + i.U
418d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + (2 * i + 1).U
419d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := dest + (2 * i + 1).U
420d91483a6Sfdy        csBundle(2 * i + 1).ldest := dest + (2 * i + 1).U
421d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i + 1).U
422d91483a6Sfdy      }
423d91483a6Sfdy    }
42417ec87f2SXuan Hu    is(UopSplitType.VEC_VXW) {
425d91483a6Sfdy      /*
426d6059658SZiyue Zhang      i to vector move
427d91483a6Sfdy       */
428d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
429d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
430d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
431fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
432fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
433b1712600SZiyue Zhang      csBundle(0).fuOpType := Cat(IF2VectorType.iDup2Vec(2, 0), vsewReg)
434fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
435d91483a6Sfdy
436d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 2) {
437fc85f18fSZiyue Zhang        csBundle(2 * i + 1).srcType(0) := SrcType.vp
438fc85f18fSZiyue Zhang        csBundle(2 * i + 1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
439d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + i.U
440d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := dest + (2 * i).U
441d91483a6Sfdy        csBundle(2 * i + 1).ldest := dest + (2 * i).U
442d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i).U
443fc85f18fSZiyue Zhang        csBundle(2 * i + 2).srcType(0) := SrcType.vp
444fc85f18fSZiyue Zhang        csBundle(2 * i + 2).lsrc(0) := VECTOR_TMP_REG_LMUL.U
445d91483a6Sfdy        csBundle(2 * i + 2).lsrc(1) := src2 + i.U
446d91483a6Sfdy        csBundle(2 * i + 2).lsrc(2) := dest + (2 * i + 1).U
447d91483a6Sfdy        csBundle(2 * i + 2).ldest := dest + (2 * i + 1).U
448d91483a6Sfdy        csBundle(2 * i + 2).uopIdx := (2 * i + 1).U
449d91483a6Sfdy      }
450d91483a6Sfdy    }
45117ec87f2SXuan Hu    is(UopSplitType.VEC_WXW) {
452d91483a6Sfdy      /*
453d6059658SZiyue Zhang      i to vector move
454d91483a6Sfdy       */
455d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
456d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
457d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
458fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
459fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
460b1712600SZiyue Zhang      csBundle(0).fuOpType := Cat(IF2VectorType.iDup2Vec(2, 0), vsewReg)
461fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
462d91483a6Sfdy
463d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 2) {
464fc85f18fSZiyue Zhang        csBundle(2 * i + 1).srcType(0) := SrcType.vp
465fc85f18fSZiyue Zhang        csBundle(2 * i + 1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
466d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + (2 * i).U
467d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := dest + (2 * i).U
468d91483a6Sfdy        csBundle(2 * i + 1).ldest := dest + (2 * i).U
469d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i).U
470fc85f18fSZiyue Zhang        csBundle(2 * i + 2).srcType(0) := SrcType.vp
471fc85f18fSZiyue Zhang        csBundle(2 * i + 2).lsrc(0) := VECTOR_TMP_REG_LMUL.U
472d91483a6Sfdy        csBundle(2 * i + 2).lsrc(1) := src2 + (2 * i + 1).U
473d91483a6Sfdy        csBundle(2 * i + 2).lsrc(2) := dest + (2 * i + 1).U
474d91483a6Sfdy        csBundle(2 * i + 2).ldest := dest + (2 * i + 1).U
475d91483a6Sfdy        csBundle(2 * i + 2).uopIdx := (2 * i + 1).U
476d91483a6Sfdy      }
477d91483a6Sfdy    }
47817ec87f2SXuan Hu    is(UopSplitType.VEC_WVV) {
479d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 2) {
480d91483a6Sfdy
481d91483a6Sfdy        csBundle(2 * i).lsrc(0) := src1 + i.U
482d91483a6Sfdy        csBundle(2 * i).lsrc(1) := src2 + (2 * i).U
483d91483a6Sfdy        csBundle(2 * i).lsrc(2) := dest + i.U
484d6f9198fSXuan Hu        csBundle(2 * i).ldest := dest + i.U
485d91483a6Sfdy        csBundle(2 * i).uopIdx := (2 * i).U
486d91483a6Sfdy        csBundle(2 * i + 1).lsrc(0) := src1 + i.U
487d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + (2 * i + 1).U
488d6f9198fSXuan Hu        csBundle(2 * i + 1).lsrc(2) := dest + i.U
489d91483a6Sfdy        csBundle(2 * i + 1).ldest := dest + i.U
490d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i + 1).U
491d91483a6Sfdy      }
492d91483a6Sfdy    }
4933748ec56Sxiaofeibao-xjtu    is(UopSplitType.VEC_WFW) {
494395c8649SZiyue-Zhang      /*
495395c8649SZiyue-Zhang      f to vector move
496395c8649SZiyue-Zhang       */
497395c8649SZiyue-Zhang      csBundle(0).srcType(0) := SrcType.fp
498395c8649SZiyue-Zhang      csBundle(0).srcType(1) := SrcType.imm
499395c8649SZiyue-Zhang      csBundle(0).lsrc(1) := 0.U
500395c8649SZiyue-Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
501395c8649SZiyue-Zhang      csBundle(0).fuType := FuType.f2v.U
502395c8649SZiyue-Zhang      csBundle(0).fuOpType := Cat(IF2VectorType.fDup2Vec(2, 0), vsewReg)
503395c8649SZiyue-Zhang      csBundle(0).rfWen := false.B
504395c8649SZiyue-Zhang      csBundle(0).fpWen := false.B
505395c8649SZiyue-Zhang      csBundle(0).vecWen := true.B
506395c8649SZiyue-Zhang
5073748ec56Sxiaofeibao-xjtu      for (i <- 0 until MAX_VLMUL / 2) {
508395c8649SZiyue-Zhang        csBundle(2 * i + 1).srcType(0) := SrcType.vp
509395c8649SZiyue-Zhang        csBundle(2 * i + 1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
510395c8649SZiyue-Zhang        csBundle(2 * i + 1).lsrc(1) := src2 + (2 * i).U
511395c8649SZiyue-Zhang        csBundle(2 * i + 1).lsrc(2) := dest + (2 * i).U
512395c8649SZiyue-Zhang        csBundle(2 * i + 1).ldest := dest + (2 * i).U
513395c8649SZiyue-Zhang        csBundle(2 * i + 1).uopIdx := (2 * i).U
514395c8649SZiyue-Zhang        csBundle(2 * i + 2).srcType(0) := SrcType.vp
515395c8649SZiyue-Zhang        csBundle(2 * i + 2).lsrc(0) := VECTOR_TMP_REG_LMUL.U
516395c8649SZiyue-Zhang        csBundle(2 * i + 2).lsrc(1) := src2 + (2 * i + 1).U
517395c8649SZiyue-Zhang        csBundle(2 * i + 2).lsrc(2) := dest + (2 * i + 1).U
518395c8649SZiyue-Zhang        csBundle(2 * i + 2).ldest := dest + (2 * i + 1).U
519395c8649SZiyue-Zhang        csBundle(2 * i + 2).uopIdx := (2 * i + 1).U
5203748ec56Sxiaofeibao-xjtu      }
5213748ec56Sxiaofeibao-xjtu    }
52217ec87f2SXuan Hu    is(UopSplitType.VEC_WXV) {
523d91483a6Sfdy      /*
524d6059658SZiyue Zhang      i to vector move
525d91483a6Sfdy       */
526d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
527d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
528d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
529fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
530fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
531b1712600SZiyue Zhang      csBundle(0).fuOpType := Cat(Mux(src1IsImm, IF2VectorType.immDup2Vec(2, 0), IF2VectorType.iDup2Vec(2, 0)), vsewReg)
532fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
533d91483a6Sfdy
534d91483a6Sfdy      for (i <- 0 until MAX_VLMUL / 2) {
535fc85f18fSZiyue Zhang        csBundle(2 * i + 1).srcType(0) := SrcType.vp
536fc85f18fSZiyue Zhang        csBundle(2 * i + 1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
537d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + (2 * i).U
538d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := dest + i.U
539d6f9198fSXuan Hu        csBundle(2 * i + 1).ldest := dest + i.U
540d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i).U
541fc85f18fSZiyue Zhang        csBundle(2 * i + 2).srcType(0) := SrcType.vp
542fc85f18fSZiyue Zhang        csBundle(2 * i + 2).lsrc(0) := VECTOR_TMP_REG_LMUL.U
543d91483a6Sfdy        csBundle(2 * i + 2).lsrc(1) := src2 + (2 * i + 1).U
544d6f9198fSXuan Hu        csBundle(2 * i + 2).lsrc(2) := dest + i.U
545d91483a6Sfdy        csBundle(2 * i + 2).ldest := dest + i.U
546d91483a6Sfdy        csBundle(2 * i + 2).uopIdx := (2 * i + 1).U
547d91483a6Sfdy      }
548d91483a6Sfdy    }
54917ec87f2SXuan Hu    is(UopSplitType.VEC_VVM) {
550d91483a6Sfdy      csBundle(0).lsrc(2) := dest
551d6f9198fSXuan Hu      csBundle(0).ldest := dest
552d91483a6Sfdy      csBundle(0).uopIdx := 0.U
553d91483a6Sfdy      for (i <- 1 until MAX_VLMUL) {
554d91483a6Sfdy        csBundle(i).lsrc(0) := src1 + i.U
555d91483a6Sfdy        csBundle(i).lsrc(1) := src2 + i.U
556d6f9198fSXuan Hu        csBundle(i).lsrc(2) := dest
557d6f9198fSXuan Hu        csBundle(i).ldest := dest
558d91483a6Sfdy        csBundle(i).uopIdx := i.U
559d91483a6Sfdy      }
560d91483a6Sfdy    }
561f06d6d60Sxiaofeibao-xjtu    is(UopSplitType.VEC_VFM) {
562395c8649SZiyue-Zhang      /*
563395c8649SZiyue-Zhang      f to vector move
564395c8649SZiyue-Zhang       */
565395c8649SZiyue-Zhang      csBundle(0).srcType(0) := SrcType.fp
566395c8649SZiyue-Zhang      csBundle(0).srcType(1) := SrcType.imm
567395c8649SZiyue-Zhang      csBundle(0).lsrc(1) := 0.U
568395c8649SZiyue-Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
569395c8649SZiyue-Zhang      csBundle(0).fuType := FuType.f2v.U
570395c8649SZiyue-Zhang      csBundle(0).fuOpType := Cat(IF2VectorType.fDup2Vec(2, 0), vsewReg)
571395c8649SZiyue-Zhang      csBundle(0).rfWen := false.B
572395c8649SZiyue-Zhang      csBundle(0).fpWen := false.B
573395c8649SZiyue-Zhang      csBundle(0).vecWen := true.B
574395c8649SZiyue-Zhang      //LMUL
575395c8649SZiyue-Zhang      csBundle(1).srcType(0) := SrcType.vp
576395c8649SZiyue-Zhang      csBundle(1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
577395c8649SZiyue-Zhang      csBundle(1).lsrc(2) := dest
578395c8649SZiyue-Zhang      csBundle(1).ldest := dest
579395c8649SZiyue-Zhang      csBundle(1).uopIdx := 0.U
580f06d6d60Sxiaofeibao-xjtu      for (i <- 1 until MAX_VLMUL) {
581395c8649SZiyue-Zhang        csBundle(i + 1).srcType(0) := SrcType.vp
582395c8649SZiyue-Zhang        csBundle(i + 1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
583395c8649SZiyue-Zhang        csBundle(i + 1).lsrc(1) := src2 + i.U
584395c8649SZiyue-Zhang        csBundle(i + 1).lsrc(2) := dest
585395c8649SZiyue-Zhang        csBundle(i + 1).ldest := dest
586395c8649SZiyue-Zhang        csBundle(i + 1).uopIdx := i.U
587f06d6d60Sxiaofeibao-xjtu      }
588f06d6d60Sxiaofeibao-xjtu      csBundle(numOfUop - 1.U).ldest := dest
589f06d6d60Sxiaofeibao-xjtu    }
59017ec87f2SXuan Hu    is(UopSplitType.VEC_VXM) {
591d91483a6Sfdy      /*
592d6059658SZiyue Zhang      i to vector move
593d91483a6Sfdy       */
594d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
595d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
596d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
597fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
598fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
599b1712600SZiyue Zhang      csBundle(0).fuOpType := Cat(Mux(src1IsImm, IF2VectorType.immDup2Vec(2, 0), IF2VectorType.iDup2Vec(2, 0)), vsewReg)
600fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
601d91483a6Sfdy      //LMUL
602fc85f18fSZiyue Zhang      csBundle(1).srcType(0) := SrcType.vp
603fc85f18fSZiyue Zhang      csBundle(1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
604d91483a6Sfdy      csBundle(1).lsrc(2) := dest
605d6f9198fSXuan Hu      csBundle(1).ldest := dest
606d91483a6Sfdy      csBundle(1).uopIdx := 0.U
607d91483a6Sfdy      for (i <- 1 until MAX_VLMUL) {
608fc85f18fSZiyue Zhang        csBundle(i + 1).srcType(0) := SrcType.vp
609fc85f18fSZiyue Zhang        csBundle(i + 1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
610d91483a6Sfdy        csBundle(i + 1).lsrc(1) := src2 + i.U
611d6f9198fSXuan Hu        csBundle(i + 1).lsrc(2) := dest
612d6f9198fSXuan Hu        csBundle(i + 1).ldest := dest
613d91483a6Sfdy        csBundle(i + 1).uopIdx := i.U
614d91483a6Sfdy      }
615d91483a6Sfdy      csBundle(numOfUop - 1.U).ldest := dest
616d91483a6Sfdy    }
61717ec87f2SXuan Hu    is(UopSplitType.VEC_SLIDE1UP) {
618d91483a6Sfdy      /*
619d6059658SZiyue Zhang      i to vector move
620d91483a6Sfdy       */
621d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
622d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
623d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
624fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
625fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
626b8505463SZiyue Zhang      csBundle(0).fuOpType := Cat(IF2VectorType.iDup2Vec(2, 0), vsewReg)
627fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
628d91483a6Sfdy      //LMUL
629fc85f18fSZiyue Zhang      csBundle(1).srcType(0) := SrcType.vp
630fc85f18fSZiyue Zhang      csBundle(1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
631d91483a6Sfdy      csBundle(1).lsrc(2) := dest
632d91483a6Sfdy      csBundle(1).ldest := dest
633d91483a6Sfdy      csBundle(1).uopIdx := 0.U
634d91483a6Sfdy      for (i <- 1 until MAX_VLMUL) {
635d91483a6Sfdy        csBundle(i + 1).srcType(0) := SrcType.vp
636d91483a6Sfdy        csBundle(i + 1).lsrc(0) := src2 + (i - 1).U
637d91483a6Sfdy        csBundle(i + 1).lsrc(1) := src2 + i.U
638d91483a6Sfdy        csBundle(i + 1).lsrc(2) := dest + i.U
639d91483a6Sfdy        csBundle(i + 1).ldest := dest + i.U
640d91483a6Sfdy        csBundle(i + 1).uopIdx := i.U
641d91483a6Sfdy      }
642d91483a6Sfdy    }
64317ec87f2SXuan Hu    is(UopSplitType.VEC_FSLIDE1UP) {
644395c8649SZiyue-Zhang      /*
645395c8649SZiyue-Zhang      i to vector move
646395c8649SZiyue-Zhang       */
647d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.fp
648395c8649SZiyue-Zhang      csBundle(0).srcType(1) := SrcType.imm
649395c8649SZiyue-Zhang      csBundle(0).lsrc(1) := 0.U
650395c8649SZiyue-Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
651395c8649SZiyue-Zhang      csBundle(0).fuType := FuType.f2v.U
652395c8649SZiyue-Zhang      csBundle(0).fuOpType := Cat(IF2VectorType.fDup2Vec(2, 0), vsewReg)
653395c8649SZiyue-Zhang      csBundle(0).rfWen := false.B
654395c8649SZiyue-Zhang      csBundle(0).fpWen := false.B
655395c8649SZiyue-Zhang      csBundle(0).vecWen := true.B
656395c8649SZiyue-Zhang      //LMUL
657395c8649SZiyue-Zhang      csBundle(1).srcType(0) := SrcType.vp
658395c8649SZiyue-Zhang      csBundle(1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
659395c8649SZiyue-Zhang      csBundle(1).lsrc(1) := src2
660395c8649SZiyue-Zhang      csBundle(1).lsrc(2) := dest
661395c8649SZiyue-Zhang      csBundle(1).ldest := dest
662395c8649SZiyue-Zhang      csBundle(1).uopIdx := 0.U
663d91483a6Sfdy      for (i <- 1 until MAX_VLMUL) {
664395c8649SZiyue-Zhang        csBundle(i + 1).srcType(0) := SrcType.vp
665395c8649SZiyue-Zhang        csBundle(i + 1).lsrc(0) := src2 + (i - 1).U
666395c8649SZiyue-Zhang        csBundle(i + 1).lsrc(1) := src2 + i.U
667395c8649SZiyue-Zhang        csBundle(i + 1).lsrc(2) := dest + i.U
668395c8649SZiyue-Zhang        csBundle(i + 1).ldest := dest + i.U
669395c8649SZiyue-Zhang        csBundle(i + 1).uopIdx := i.U
670d91483a6Sfdy      }
671d91483a6Sfdy    }
67217ec87f2SXuan Hu    is(UopSplitType.VEC_SLIDE1DOWN) { // lmul+lmul = 16
673d91483a6Sfdy      /*
674d6059658SZiyue Zhang      i to vector move
675d91483a6Sfdy       */
676d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
677d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
678d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
679fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
680fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
681b8505463SZiyue Zhang      csBundle(0).fuOpType := Cat(IF2VectorType.iDup2Vec(2, 0), vsewReg)
682fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
683d91483a6Sfdy      //LMUL
684d91483a6Sfdy      for (i <- 0 until MAX_VLMUL) {
685d91483a6Sfdy        csBundle(2 * i + 1).srcType(0) := SrcType.vp
686d91483a6Sfdy        csBundle(2 * i + 1).srcType(1) := SrcType.vp
687d91483a6Sfdy        csBundle(2 * i + 1).lsrc(0) := src2 + (i + 1).U
688d91483a6Sfdy        csBundle(2 * i + 1).lsrc(1) := src2 + i.U
689d91483a6Sfdy        csBundle(2 * i + 1).lsrc(2) := dest + i.U
690fc85f18fSZiyue Zhang        csBundle(2 * i + 1).ldest := VECTOR_TMP_REG_LMUL.U + 1.U
691d91483a6Sfdy        csBundle(2 * i + 1).uopIdx := (2 * i).U
692d91483a6Sfdy        if (2 * i + 2 < MAX_VLMUL * 2) {
693fc85f18fSZiyue Zhang          csBundle(2 * i + 2).srcType(0) := SrcType.vp
694fc85f18fSZiyue Zhang          csBundle(2 * i + 2).lsrc(0) := VECTOR_TMP_REG_LMUL.U
695d91483a6Sfdy          // csBundle(2 * i + 2).lsrc(1) := src2 + i.U         // DontCare
696fc85f18fSZiyue Zhang          csBundle(2 * i + 2).lsrc(2) := VECTOR_TMP_REG_LMUL.U + 1.U
697d91483a6Sfdy          csBundle(2 * i + 2).ldest := dest + i.U
698d91483a6Sfdy          csBundle(2 * i + 2).uopIdx := (2 * i + 1).U
699d91483a6Sfdy        }
700d91483a6Sfdy      }
7018cbcda9aSZiyue Zhang      csBundle(numOfUop - 1.U).srcType(0) := SrcType.vp
7028cbcda9aSZiyue Zhang      csBundle(numOfUop - 1.U).lsrc(0) := VECTOR_TMP_REG_LMUL.U
703d91483a6Sfdy      csBundle(numOfUop - 1.U).ldest := dest + lmul - 1.U
704d91483a6Sfdy    }
70517ec87f2SXuan Hu    is(UopSplitType.VEC_FSLIDE1DOWN) {
706395c8649SZiyue-Zhang      /*
707395c8649SZiyue-Zhang      i to vector move
708395c8649SZiyue-Zhang       */
709395c8649SZiyue-Zhang      csBundle(0).srcType(0) := SrcType.fp
710395c8649SZiyue-Zhang      csBundle(0).srcType(1) := SrcType.imm
711395c8649SZiyue-Zhang      csBundle(0).lsrc(1) := 0.U
712395c8649SZiyue-Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
713395c8649SZiyue-Zhang      csBundle(0).fuType := FuType.f2v.U
714395c8649SZiyue-Zhang      csBundle(0).fuOpType := Cat(IF2VectorType.fDup2Vec(2, 0), vsewReg)
715395c8649SZiyue-Zhang      csBundle(0).rfWen := false.B
716395c8649SZiyue-Zhang      csBundle(0).fpWen := false.B
717395c8649SZiyue-Zhang      csBundle(0).vecWen := true.B
718d91483a6Sfdy      //LMUL
719d91483a6Sfdy      for (i <- 0 until MAX_VLMUL) {
720395c8649SZiyue-Zhang        csBundle(2 * i + 1).srcType(0) := SrcType.vp
721395c8649SZiyue-Zhang        csBundle(2 * i + 1).srcType(1) := SrcType.vp
722395c8649SZiyue-Zhang        csBundle(2 * i + 1).lsrc(0) := src2 + (i + 1).U
723395c8649SZiyue-Zhang        csBundle(2 * i + 1).lsrc(1) := src2 + i.U
724395c8649SZiyue-Zhang        csBundle(2 * i + 1).lsrc(2) := dest + i.U
725395c8649SZiyue-Zhang        csBundle(2 * i + 1).ldest := VECTOR_TMP_REG_LMUL.U + 1.U
726395c8649SZiyue-Zhang        csBundle(2 * i + 1).uopIdx := (2 * i).U
727395c8649SZiyue-Zhang        if (2 * i + 2 < MAX_VLMUL * 2) {
728395c8649SZiyue-Zhang          csBundle(2 * i + 2).srcType(0) := SrcType.vp
729395c8649SZiyue-Zhang          csBundle(2 * i + 2).lsrc(0) := VECTOR_TMP_REG_LMUL.U
730395c8649SZiyue-Zhang          // csBundle(2 * i + 2).lsrc(1) := src2 + i.U         // DontCare
731395c8649SZiyue-Zhang          csBundle(2 * i + 2).lsrc(2) := VECTOR_TMP_REG_LMUL.U + 1.U
732395c8649SZiyue-Zhang          csBundle(2 * i + 2).ldest := dest + i.U
733395c8649SZiyue-Zhang          csBundle(2 * i + 2).uopIdx := (2 * i + 1).U
734d91483a6Sfdy        }
735395c8649SZiyue-Zhang      }
736395c8649SZiyue-Zhang      csBundle(numOfUop - 1.U).srcType(0) := SrcType.vp
737395c8649SZiyue-Zhang      csBundle(numOfUop - 1.U).lsrc(0) := VECTOR_TMP_REG_LMUL.U
738d91483a6Sfdy      csBundle(numOfUop - 1.U).ldest := dest + lmul - 1.U
739d91483a6Sfdy    }
74017ec87f2SXuan Hu    is(UopSplitType.VEC_VRED) {
741aaa08c5aSxiaofeibao-xjtu      when(vlmulReg === "b001".U) {
742d91483a6Sfdy        csBundle(0).srcType(2) := SrcType.DC
743d91483a6Sfdy        csBundle(0).lsrc(0) := src2 + 1.U
744d91483a6Sfdy        csBundle(0).lsrc(1) := src2
745d91483a6Sfdy        csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
746d91483a6Sfdy        csBundle(0).uopIdx := 0.U
747d91483a6Sfdy      }
748aaa08c5aSxiaofeibao-xjtu      when(vlmulReg === "b010".U) {
749d91483a6Sfdy        csBundle(0).srcType(2) := SrcType.DC
750d91483a6Sfdy        csBundle(0).lsrc(0) := src2 + 1.U
751d91483a6Sfdy        csBundle(0).lsrc(1) := src2
752d91483a6Sfdy        csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
753d91483a6Sfdy        csBundle(0).uopIdx := 0.U
754d91483a6Sfdy
755d91483a6Sfdy        csBundle(1).srcType(2) := SrcType.DC
756d91483a6Sfdy        csBundle(1).lsrc(0) := src2 + 3.U
757d91483a6Sfdy        csBundle(1).lsrc(1) := src2 + 2.U
758d91483a6Sfdy        csBundle(1).ldest := (VECTOR_TMP_REG_LMUL + 1).U
759d91483a6Sfdy        csBundle(1).uopIdx := 1.U
760d91483a6Sfdy
761d91483a6Sfdy        csBundle(2).srcType(2) := SrcType.DC
762d91483a6Sfdy        csBundle(2).lsrc(0) := (VECTOR_TMP_REG_LMUL + 1).U
763d91483a6Sfdy        csBundle(2).lsrc(1) := VECTOR_TMP_REG_LMUL.U
764d91483a6Sfdy        csBundle(2).ldest := (VECTOR_TMP_REG_LMUL + 2).U
765d91483a6Sfdy        csBundle(2).uopIdx := 2.U
766d91483a6Sfdy      }
767aaa08c5aSxiaofeibao-xjtu      when(vlmulReg === "b011".U) {
768d91483a6Sfdy        for (i <- 0 until MAX_VLMUL) {
769d91483a6Sfdy          if (i < MAX_VLMUL - MAX_VLMUL / 2) {
770d91483a6Sfdy            csBundle(i).lsrc(0) := src2 + (i * 2 + 1).U
771d91483a6Sfdy            csBundle(i).lsrc(1) := src2 + (i * 2).U
772d91483a6Sfdy            csBundle(i).ldest := (VECTOR_TMP_REG_LMUL + i).U
773d91483a6Sfdy          } else if (i < MAX_VLMUL - MAX_VLMUL / 4) {
774d91483a6Sfdy            csBundle(i).lsrc(0) := (VECTOR_TMP_REG_LMUL + (i - MAX_VLMUL / 2) * 2 + 1).U
775d91483a6Sfdy            csBundle(i).lsrc(1) := (VECTOR_TMP_REG_LMUL + (i - MAX_VLMUL / 2) * 2).U
776d91483a6Sfdy            csBundle(i).ldest := (VECTOR_TMP_REG_LMUL + i).U
777d91483a6Sfdy          } else if (i < MAX_VLMUL - MAX_VLMUL / 8) {
778d91483a6Sfdy            csBundle(6).lsrc(0) := (VECTOR_TMP_REG_LMUL + 5).U
779d91483a6Sfdy            csBundle(6).lsrc(1) := (VECTOR_TMP_REG_LMUL + 4).U
780d91483a6Sfdy            csBundle(6).ldest := (VECTOR_TMP_REG_LMUL + 6).U
781d91483a6Sfdy          }
782d91483a6Sfdy          csBundle(i).srcType(2) := SrcType.DC
783d91483a6Sfdy          csBundle(i).uopIdx := i.U
784d91483a6Sfdy        }
785d91483a6Sfdy      }
786caa15984SZiyue Zhang      when(vlmulReg(2) === 0.U && vlmulReg(1, 0).orR) {
787caa15984SZiyue Zhang        /*
788caa15984SZiyue Zhang         * 2 <= vlmul <= 8
789caa15984SZiyue Zhang         */
790d91483a6Sfdy        csBundle(numOfUop - 1.U).srcType(2) := SrcType.vp
791d91483a6Sfdy        csBundle(numOfUop - 1.U).lsrc(0) := src1
792d91483a6Sfdy        csBundle(numOfUop - 1.U).lsrc(1) := VECTOR_TMP_REG_LMUL.U + numOfUop - 2.U
793d91483a6Sfdy        csBundle(numOfUop - 1.U).lsrc(2) := dest
794d91483a6Sfdy        csBundle(numOfUop - 1.U).ldest := dest
795d91483a6Sfdy        csBundle(numOfUop - 1.U).uopIdx := numOfUop - 1.U
796d91483a6Sfdy      }
797d91483a6Sfdy    }
798582849ffSxiaofeibao-xjtu    is(UopSplitType.VEC_VFRED) {
799aaa08c5aSxiaofeibao-xjtu      val vlmul = vlmulReg
800aaa08c5aSxiaofeibao-xjtu      val vsew = vsewReg
801582849ffSxiaofeibao-xjtu      when(vlmul === VLmul.m8){
802582849ffSxiaofeibao-xjtu        for (i <- 0 until 4) {
803582849ffSxiaofeibao-xjtu          csBundle(i).lsrc(0) := src2 + (i * 2 + 1).U
804582849ffSxiaofeibao-xjtu          csBundle(i).lsrc(1) := src2 + (i * 2).U
805582849ffSxiaofeibao-xjtu          csBundle(i).ldest := (VECTOR_TMP_REG_LMUL + i).U
806582849ffSxiaofeibao-xjtu          csBundle(i).uopIdx := i.U
807582849ffSxiaofeibao-xjtu        }
808582849ffSxiaofeibao-xjtu        for (i <- 4 until 6) {
809582849ffSxiaofeibao-xjtu          csBundle(i).lsrc(0) := (VECTOR_TMP_REG_LMUL + (i - 4) * 2 + 1).U
810582849ffSxiaofeibao-xjtu          csBundle(i).lsrc(1) := (VECTOR_TMP_REG_LMUL + (i - 4) * 2).U
811582849ffSxiaofeibao-xjtu          csBundle(i).ldest := (VECTOR_TMP_REG_LMUL + i).U
812582849ffSxiaofeibao-xjtu          csBundle(i).uopIdx := i.U
813582849ffSxiaofeibao-xjtu        }
814582849ffSxiaofeibao-xjtu        csBundle(6).lsrc(0) := (VECTOR_TMP_REG_LMUL + 5).U
815582849ffSxiaofeibao-xjtu        csBundle(6).lsrc(1) := (VECTOR_TMP_REG_LMUL + 4).U
816582849ffSxiaofeibao-xjtu        csBundle(6).ldest := (VECTOR_TMP_REG_LMUL + 6).U
817582849ffSxiaofeibao-xjtu        csBundle(6).uopIdx := 6.U
818582849ffSxiaofeibao-xjtu        when(vsew === VSew.e64) {
819582849ffSxiaofeibao-xjtu          csBundle(7).lsrc(0) := (VECTOR_TMP_REG_LMUL + 6).U
820582849ffSxiaofeibao-xjtu          csBundle(7).lsrc(1) := (VECTOR_TMP_REG_LMUL + 6).U
821582849ffSxiaofeibao-xjtu          csBundle(7).ldest := (VECTOR_TMP_REG_LMUL + 7).U
822582849ffSxiaofeibao-xjtu          csBundle(7).vpu.fpu.isFoldTo1_2 := true.B
823582849ffSxiaofeibao-xjtu          csBundle(7).uopIdx := 7.U
824582849ffSxiaofeibao-xjtu          csBundle(8).lsrc(0) := src1
825582849ffSxiaofeibao-xjtu          csBundle(8).lsrc(1) := (VECTOR_TMP_REG_LMUL + 7).U
826582849ffSxiaofeibao-xjtu          csBundle(8).ldest := dest
827582849ffSxiaofeibao-xjtu          csBundle(8).uopIdx := 8.U
828582849ffSxiaofeibao-xjtu        }
829582849ffSxiaofeibao-xjtu        when(vsew === VSew.e32) {
830582849ffSxiaofeibao-xjtu          csBundle(7).lsrc(0) := (VECTOR_TMP_REG_LMUL + 6).U
831582849ffSxiaofeibao-xjtu          csBundle(7).lsrc(1) := (VECTOR_TMP_REG_LMUL + 6).U
832582849ffSxiaofeibao-xjtu          csBundle(7).ldest := (VECTOR_TMP_REG_LMUL + 7).U
833582849ffSxiaofeibao-xjtu          csBundle(7).vpu.fpu.isFoldTo1_2 := true.B
834582849ffSxiaofeibao-xjtu          csBundle(7).uopIdx := 7.U
835582849ffSxiaofeibao-xjtu          csBundle(8).lsrc(0) := (VECTOR_TMP_REG_LMUL + 7).U
836582849ffSxiaofeibao-xjtu          csBundle(8).lsrc(1) := (VECTOR_TMP_REG_LMUL + 7).U
837582849ffSxiaofeibao-xjtu          csBundle(8).ldest := (VECTOR_TMP_REG_LMUL + 8).U
838582849ffSxiaofeibao-xjtu          csBundle(8).vpu.fpu.isFoldTo1_4 := true.B
839582849ffSxiaofeibao-xjtu          csBundle(8).uopIdx := 8.U
840582849ffSxiaofeibao-xjtu          csBundle(9).lsrc(0) := src1
841582849ffSxiaofeibao-xjtu          csBundle(9).lsrc(1) := (VECTOR_TMP_REG_LMUL + 8).U
842582849ffSxiaofeibao-xjtu          csBundle(9).ldest := dest
843582849ffSxiaofeibao-xjtu          csBundle(9).uopIdx := 9.U
844582849ffSxiaofeibao-xjtu        }
845582849ffSxiaofeibao-xjtu        when(vsew === VSew.e16) {
846582849ffSxiaofeibao-xjtu          csBundle(7).lsrc(0) := (VECTOR_TMP_REG_LMUL + 6).U
847582849ffSxiaofeibao-xjtu          csBundle(7).lsrc(1) := (VECTOR_TMP_REG_LMUL + 6).U
848582849ffSxiaofeibao-xjtu          csBundle(7).ldest := (VECTOR_TMP_REG_LMUL + 7).U
849582849ffSxiaofeibao-xjtu          csBundle(7).vpu.fpu.isFoldTo1_2 := true.B
850582849ffSxiaofeibao-xjtu          csBundle(7).uopIdx := 7.U
851582849ffSxiaofeibao-xjtu          csBundle(8).lsrc(0) := (VECTOR_TMP_REG_LMUL + 7).U
852582849ffSxiaofeibao-xjtu          csBundle(8).lsrc(1) := (VECTOR_TMP_REG_LMUL + 7).U
853582849ffSxiaofeibao-xjtu          csBundle(8).ldest := (VECTOR_TMP_REG_LMUL + 8).U
854582849ffSxiaofeibao-xjtu          csBundle(8).vpu.fpu.isFoldTo1_4 := true.B
855582849ffSxiaofeibao-xjtu          csBundle(8).uopIdx := 8.U
856582849ffSxiaofeibao-xjtu          csBundle(9).lsrc(0) := (VECTOR_TMP_REG_LMUL + 8).U
857582849ffSxiaofeibao-xjtu          csBundle(9).lsrc(1) := (VECTOR_TMP_REG_LMUL + 8).U
858582849ffSxiaofeibao-xjtu          csBundle(9).ldest := (VECTOR_TMP_REG_LMUL + 9).U
859582849ffSxiaofeibao-xjtu          csBundle(9).vpu.fpu.isFoldTo1_8 := true.B
860582849ffSxiaofeibao-xjtu          csBundle(9).uopIdx := 9.U
861582849ffSxiaofeibao-xjtu          csBundle(10).lsrc(0) := src1
862582849ffSxiaofeibao-xjtu          csBundle(10).lsrc(1) := (VECTOR_TMP_REG_LMUL + 9).U
863582849ffSxiaofeibao-xjtu          csBundle(10).ldest := dest
864582849ffSxiaofeibao-xjtu          csBundle(10).uopIdx := 10.U
865582849ffSxiaofeibao-xjtu        }
866582849ffSxiaofeibao-xjtu      }
867582849ffSxiaofeibao-xjtu      when(vlmul === VLmul.m4) {
868582849ffSxiaofeibao-xjtu        for (i <- 0 until 2) {
869582849ffSxiaofeibao-xjtu          csBundle(i).lsrc(0) := src2 + (i * 2 + 1).U
870582849ffSxiaofeibao-xjtu          csBundle(i).lsrc(1) := src2 + (i * 2).U
871582849ffSxiaofeibao-xjtu          csBundle(i).ldest := (VECTOR_TMP_REG_LMUL + i).U
872582849ffSxiaofeibao-xjtu          csBundle(i).uopIdx := i.U
873582849ffSxiaofeibao-xjtu        }
874582849ffSxiaofeibao-xjtu        csBundle(2).lsrc(0) := (VECTOR_TMP_REG_LMUL + 1).U
875582849ffSxiaofeibao-xjtu        csBundle(2).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
876582849ffSxiaofeibao-xjtu        csBundle(2).ldest := (VECTOR_TMP_REG_LMUL + 2).U
877582849ffSxiaofeibao-xjtu        csBundle(2).uopIdx := 2.U
878582849ffSxiaofeibao-xjtu        when(vsew === VSew.e64) {
879582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(0) := (VECTOR_TMP_REG_LMUL + 2).U
880582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(1) := (VECTOR_TMP_REG_LMUL + 2).U
881582849ffSxiaofeibao-xjtu          csBundle(3).ldest := (VECTOR_TMP_REG_LMUL + 3).U
882582849ffSxiaofeibao-xjtu          csBundle(3).vpu.fpu.isFoldTo1_2 := true.B
883582849ffSxiaofeibao-xjtu          csBundle(3).uopIdx := 3.U
884582849ffSxiaofeibao-xjtu          csBundle(4).lsrc(0) := src1
885582849ffSxiaofeibao-xjtu          csBundle(4).lsrc(1) := (VECTOR_TMP_REG_LMUL + 3).U
886582849ffSxiaofeibao-xjtu          csBundle(4).ldest := dest
887582849ffSxiaofeibao-xjtu          csBundle(4).uopIdx := 4.U
888582849ffSxiaofeibao-xjtu        }
889582849ffSxiaofeibao-xjtu        when(vsew === VSew.e32) {
890582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(0) := (VECTOR_TMP_REG_LMUL + 2).U
891582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(1) := (VECTOR_TMP_REG_LMUL + 2).U
892582849ffSxiaofeibao-xjtu          csBundle(3).ldest := (VECTOR_TMP_REG_LMUL + 3).U
893582849ffSxiaofeibao-xjtu          csBundle(3).vpu.fpu.isFoldTo1_2 := true.B
894582849ffSxiaofeibao-xjtu          csBundle(3).uopIdx := 3.U
895582849ffSxiaofeibao-xjtu          csBundle(4).lsrc(0) := (VECTOR_TMP_REG_LMUL + 3).U
896582849ffSxiaofeibao-xjtu          csBundle(4).lsrc(1) := (VECTOR_TMP_REG_LMUL + 3).U
897582849ffSxiaofeibao-xjtu          csBundle(4).ldest := (VECTOR_TMP_REG_LMUL + 4).U
898582849ffSxiaofeibao-xjtu          csBundle(4).vpu.fpu.isFoldTo1_4 := true.B
899582849ffSxiaofeibao-xjtu          csBundle(4).uopIdx := 4.U
900582849ffSxiaofeibao-xjtu          csBundle(5).lsrc(0) := src1
901582849ffSxiaofeibao-xjtu          csBundle(5).lsrc(1) := (VECTOR_TMP_REG_LMUL + 4).U
902582849ffSxiaofeibao-xjtu          csBundle(5).ldest := dest
903582849ffSxiaofeibao-xjtu          csBundle(5).uopIdx := 5.U
904582849ffSxiaofeibao-xjtu        }
905582849ffSxiaofeibao-xjtu        when(vsew === VSew.e16) {
906582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(0) := (VECTOR_TMP_REG_LMUL + 2).U
907582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(1) := (VECTOR_TMP_REG_LMUL + 2).U
908582849ffSxiaofeibao-xjtu          csBundle(3).ldest := (VECTOR_TMP_REG_LMUL + 3).U
909582849ffSxiaofeibao-xjtu          csBundle(3).vpu.fpu.isFoldTo1_2 := true.B
910582849ffSxiaofeibao-xjtu          csBundle(3).uopIdx := 3.U
911582849ffSxiaofeibao-xjtu          csBundle(4).lsrc(0) := (VECTOR_TMP_REG_LMUL + 3).U
912582849ffSxiaofeibao-xjtu          csBundle(4).lsrc(1) := (VECTOR_TMP_REG_LMUL + 3).U
913582849ffSxiaofeibao-xjtu          csBundle(4).ldest := (VECTOR_TMP_REG_LMUL + 4).U
914582849ffSxiaofeibao-xjtu          csBundle(4).vpu.fpu.isFoldTo1_4 := true.B
915582849ffSxiaofeibao-xjtu          csBundle(4).uopIdx := 4.U
916582849ffSxiaofeibao-xjtu          csBundle(5).lsrc(0) := (VECTOR_TMP_REG_LMUL + 4).U
917582849ffSxiaofeibao-xjtu          csBundle(5).lsrc(1) := (VECTOR_TMP_REG_LMUL + 4).U
918582849ffSxiaofeibao-xjtu          csBundle(5).ldest := (VECTOR_TMP_REG_LMUL + 5).U
919582849ffSxiaofeibao-xjtu          csBundle(5).vpu.fpu.isFoldTo1_8 := true.B
920582849ffSxiaofeibao-xjtu          csBundle(5).uopIdx := 5.U
921582849ffSxiaofeibao-xjtu          csBundle(6).lsrc(0) := src1
922582849ffSxiaofeibao-xjtu          csBundle(6).lsrc(1) := (VECTOR_TMP_REG_LMUL + 5).U
923582849ffSxiaofeibao-xjtu          csBundle(6).ldest := dest
924582849ffSxiaofeibao-xjtu          csBundle(6).uopIdx := 6.U
925582849ffSxiaofeibao-xjtu        }
926582849ffSxiaofeibao-xjtu      }
927582849ffSxiaofeibao-xjtu      when(vlmul === VLmul.m2) {
928582849ffSxiaofeibao-xjtu        csBundle(0).lsrc(0) := src2 + 1.U
929582849ffSxiaofeibao-xjtu        csBundle(0).lsrc(1) := src2 + 0.U
930582849ffSxiaofeibao-xjtu        csBundle(0).ldest := (VECTOR_TMP_REG_LMUL + 0).U
931582849ffSxiaofeibao-xjtu        csBundle(0).uopIdx := 0.U
932582849ffSxiaofeibao-xjtu        when(vsew === VSew.e64) {
933582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(0) := (VECTOR_TMP_REG_LMUL + 0).U
934582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
935582849ffSxiaofeibao-xjtu          csBundle(1).ldest := (VECTOR_TMP_REG_LMUL + 1).U
936582849ffSxiaofeibao-xjtu          csBundle(1).vpu.fpu.isFoldTo1_2 := true.B
937582849ffSxiaofeibao-xjtu          csBundle(1).uopIdx := 1.U
938582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(0) := src1
939582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(1) := (VECTOR_TMP_REG_LMUL + 1).U
940582849ffSxiaofeibao-xjtu          csBundle(2).ldest := dest
941582849ffSxiaofeibao-xjtu          csBundle(2).uopIdx := 2.U
942582849ffSxiaofeibao-xjtu        }
943582849ffSxiaofeibao-xjtu        when(vsew === VSew.e32) {
944582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(0) := (VECTOR_TMP_REG_LMUL + 0).U
945582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
946582849ffSxiaofeibao-xjtu          csBundle(1).ldest := (VECTOR_TMP_REG_LMUL + 1).U
947582849ffSxiaofeibao-xjtu          csBundle(1).vpu.fpu.isFoldTo1_2 := true.B
948582849ffSxiaofeibao-xjtu          csBundle(1).uopIdx := 1.U
949582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(0) := (VECTOR_TMP_REG_LMUL + 1).U
950582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(1) := (VECTOR_TMP_REG_LMUL + 1).U
951582849ffSxiaofeibao-xjtu          csBundle(2).ldest := (VECTOR_TMP_REG_LMUL + 2).U
952582849ffSxiaofeibao-xjtu          csBundle(2).vpu.fpu.isFoldTo1_4 := true.B
953582849ffSxiaofeibao-xjtu          csBundle(2).uopIdx := 2.U
954582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(0) := src1
955582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(1) := (VECTOR_TMP_REG_LMUL + 2).U
956582849ffSxiaofeibao-xjtu          csBundle(3).ldest := dest
957582849ffSxiaofeibao-xjtu          csBundle(3).uopIdx := 3.U
958582849ffSxiaofeibao-xjtu        }
959582849ffSxiaofeibao-xjtu        when(vsew === VSew.e16) {
960582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(0) := (VECTOR_TMP_REG_LMUL + 0).U
961582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
962582849ffSxiaofeibao-xjtu          csBundle(1).ldest := (VECTOR_TMP_REG_LMUL + 1).U
963582849ffSxiaofeibao-xjtu          csBundle(1).vpu.fpu.isFoldTo1_2 := true.B
964582849ffSxiaofeibao-xjtu          csBundle(1).uopIdx := 1.U
965582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(0) := (VECTOR_TMP_REG_LMUL + 1).U
966582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(1) := (VECTOR_TMP_REG_LMUL + 1).U
967582849ffSxiaofeibao-xjtu          csBundle(2).ldest := (VECTOR_TMP_REG_LMUL + 2).U
968582849ffSxiaofeibao-xjtu          csBundle(2).vpu.fpu.isFoldTo1_4 := true.B
969582849ffSxiaofeibao-xjtu          csBundle(2).uopIdx := 2.U
970582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(0) := (VECTOR_TMP_REG_LMUL + 2).U
971582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(1) := (VECTOR_TMP_REG_LMUL + 2).U
972582849ffSxiaofeibao-xjtu          csBundle(3).ldest := (VECTOR_TMP_REG_LMUL + 3).U
973582849ffSxiaofeibao-xjtu          csBundle(3).vpu.fpu.isFoldTo1_8 := true.B
974582849ffSxiaofeibao-xjtu          csBundle(3).uopIdx := 3.U
975582849ffSxiaofeibao-xjtu          csBundle(4).lsrc(0) := src1
976582849ffSxiaofeibao-xjtu          csBundle(4).lsrc(1) := (VECTOR_TMP_REG_LMUL + 3).U
977582849ffSxiaofeibao-xjtu          csBundle(4).ldest := dest
978582849ffSxiaofeibao-xjtu          csBundle(4).uopIdx := 4.U
979582849ffSxiaofeibao-xjtu        }
980582849ffSxiaofeibao-xjtu      }
981582849ffSxiaofeibao-xjtu      when(vlmul === VLmul.m1) {
982582849ffSxiaofeibao-xjtu        when(vsew === VSew.e64) {
983582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(0) := src2
984582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(1) := src2
985582849ffSxiaofeibao-xjtu          csBundle(0).ldest := (VECTOR_TMP_REG_LMUL + 0).U
986582849ffSxiaofeibao-xjtu          csBundle(0).vpu.fpu.isFoldTo1_2 := true.B
987582849ffSxiaofeibao-xjtu          csBundle(0).uopIdx := 0.U
988582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(0) := src1
989582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
990582849ffSxiaofeibao-xjtu          csBundle(1).ldest := dest
991582849ffSxiaofeibao-xjtu          csBundle(1).uopIdx := 1.U
992582849ffSxiaofeibao-xjtu        }
993582849ffSxiaofeibao-xjtu        when(vsew === VSew.e32) {
994582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(0) := src2
995582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(1) := src2
996582849ffSxiaofeibao-xjtu          csBundle(0).ldest := (VECTOR_TMP_REG_LMUL + 0).U
997582849ffSxiaofeibao-xjtu          csBundle(0).vpu.fpu.isFoldTo1_2 := true.B
998582849ffSxiaofeibao-xjtu          csBundle(0).uopIdx := 0.U
999582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(0) := (VECTOR_TMP_REG_LMUL + 0).U
1000582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
1001582849ffSxiaofeibao-xjtu          csBundle(1).ldest := (VECTOR_TMP_REG_LMUL + 1).U
1002582849ffSxiaofeibao-xjtu          csBundle(1).vpu.fpu.isFoldTo1_4 := true.B
1003582849ffSxiaofeibao-xjtu          csBundle(1).uopIdx := 1.U
1004582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(0) := src1
1005582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(1) := (VECTOR_TMP_REG_LMUL + 1).U
1006582849ffSxiaofeibao-xjtu          csBundle(2).ldest := dest
1007582849ffSxiaofeibao-xjtu          csBundle(2).uopIdx := 2.U
1008582849ffSxiaofeibao-xjtu        }
1009582849ffSxiaofeibao-xjtu        when(vsew === VSew.e16) {
1010582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(0) := src2
1011582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(1) := src2
1012582849ffSxiaofeibao-xjtu          csBundle(0).ldest := (VECTOR_TMP_REG_LMUL + 0).U
1013582849ffSxiaofeibao-xjtu          csBundle(0).vpu.fpu.isFoldTo1_2 := true.B
1014582849ffSxiaofeibao-xjtu          csBundle(0).uopIdx := 0.U
1015582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(0) := (VECTOR_TMP_REG_LMUL + 0).U
1016582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
1017582849ffSxiaofeibao-xjtu          csBundle(1).ldest := (VECTOR_TMP_REG_LMUL + 1).U
1018582849ffSxiaofeibao-xjtu          csBundle(1).vpu.fpu.isFoldTo1_4 := true.B
1019582849ffSxiaofeibao-xjtu          csBundle(1).uopIdx := 1.U
1020582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(0) := (VECTOR_TMP_REG_LMUL + 1).U
1021582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(1) := (VECTOR_TMP_REG_LMUL + 1).U
1022582849ffSxiaofeibao-xjtu          csBundle(2).ldest := (VECTOR_TMP_REG_LMUL + 2).U
1023582849ffSxiaofeibao-xjtu          csBundle(2).vpu.fpu.isFoldTo1_8 := true.B
1024582849ffSxiaofeibao-xjtu          csBundle(2).uopIdx := 2.U
1025582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(0) := src1
1026582849ffSxiaofeibao-xjtu          csBundle(3).lsrc(1) := (VECTOR_TMP_REG_LMUL + 2).U
1027582849ffSxiaofeibao-xjtu          csBundle(3).ldest := dest
1028582849ffSxiaofeibao-xjtu          csBundle(3).uopIdx := 3.U
1029582849ffSxiaofeibao-xjtu        }
1030582849ffSxiaofeibao-xjtu      }
1031582849ffSxiaofeibao-xjtu      when(vlmul === VLmul.mf2) {
1032582849ffSxiaofeibao-xjtu        when(vsew === VSew.e32) {
1033582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(0) := src2
1034582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(1) := src2
1035582849ffSxiaofeibao-xjtu          csBundle(0).ldest := (VECTOR_TMP_REG_LMUL + 0).U
1036582849ffSxiaofeibao-xjtu          csBundle(0).vpu.fpu.isFoldTo1_4 := true.B
1037582849ffSxiaofeibao-xjtu          csBundle(0).uopIdx := 0.U
1038582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(0) := src1
1039582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
1040582849ffSxiaofeibao-xjtu          csBundle(1).ldest := dest
1041582849ffSxiaofeibao-xjtu          csBundle(1).uopIdx := 1.U
1042582849ffSxiaofeibao-xjtu        }
1043582849ffSxiaofeibao-xjtu        when(vsew === VSew.e16) {
1044582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(0) := src2
1045582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(1) := src2
1046582849ffSxiaofeibao-xjtu          csBundle(0).ldest := (VECTOR_TMP_REG_LMUL + 0).U
1047582849ffSxiaofeibao-xjtu          csBundle(0).vpu.fpu.isFoldTo1_4 := true.B
1048582849ffSxiaofeibao-xjtu          csBundle(0).uopIdx := 0.U
1049582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(0) := (VECTOR_TMP_REG_LMUL + 0).U
1050582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
1051582849ffSxiaofeibao-xjtu          csBundle(1).ldest := (VECTOR_TMP_REG_LMUL + 1).U
1052582849ffSxiaofeibao-xjtu          csBundle(1).vpu.fpu.isFoldTo1_8 := true.B
1053582849ffSxiaofeibao-xjtu          csBundle(1).uopIdx := 1.U
1054582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(0) := src1
1055582849ffSxiaofeibao-xjtu          csBundle(2).lsrc(1) := (VECTOR_TMP_REG_LMUL + 1).U
1056582849ffSxiaofeibao-xjtu          csBundle(2).ldest := dest
1057582849ffSxiaofeibao-xjtu          csBundle(2).uopIdx := 2.U
1058582849ffSxiaofeibao-xjtu        }
1059582849ffSxiaofeibao-xjtu      }
1060582849ffSxiaofeibao-xjtu      when(vlmul === VLmul.mf4) {
1061582849ffSxiaofeibao-xjtu        when(vsew === VSew.e16) {
1062582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(0) := src2
1063582849ffSxiaofeibao-xjtu          csBundle(0).lsrc(1) := src2
1064582849ffSxiaofeibao-xjtu          csBundle(0).ldest := (VECTOR_TMP_REG_LMUL + 0).U
1065582849ffSxiaofeibao-xjtu          csBundle(0).vpu.fpu.isFoldTo1_8 := true.B
1066582849ffSxiaofeibao-xjtu          csBundle(0).uopIdx := 0.U
1067582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(0) := src1
1068582849ffSxiaofeibao-xjtu          csBundle(1).lsrc(1) := (VECTOR_TMP_REG_LMUL + 0).U
1069582849ffSxiaofeibao-xjtu          csBundle(1).ldest := dest
1070582849ffSxiaofeibao-xjtu          csBundle(1).uopIdx := 1.U
1071582849ffSxiaofeibao-xjtu        }
1072582849ffSxiaofeibao-xjtu      }
1073582849ffSxiaofeibao-xjtu    }
1074d91483a6Sfdy
1075b94b1889Sxiaofeibao-xjtu    is(UopSplitType.VEC_VFREDOSUM) {
1076b94b1889Sxiaofeibao-xjtu      import yunsuan.VfaluType
1077aaa08c5aSxiaofeibao-xjtu      val vlmul = vlmulReg
1078aaa08c5aSxiaofeibao-xjtu      val vsew = vsewReg
1079e25c13faSXuan Hu      val isWiden = latchedInst.fuOpType === VfaluType.vfwredosum
1080b94b1889Sxiaofeibao-xjtu      when(vlmul === VLmul.m8) {
1081b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e64) {
1082b94b1889Sxiaofeibao-xjtu          val vlmax = 16
1083b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1084b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1085b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 2 == 0) src2 + (i/2).U else VECTOR_TMP_REG_LMUL.U)
1086b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 2 == 0) src2 + (i/2).U else if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1087b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1088b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_2 := (if (i % 2 == 0) false.B else true.B)
1089b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1090b94b1889Sxiaofeibao-xjtu          }
1091b94b1889Sxiaofeibao-xjtu        }
1092b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e32) {
1093b94b1889Sxiaofeibao-xjtu          val vlmax = 32
1094b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1095b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1096b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 4 == 0) src2 + (i/4).U else VECTOR_TMP_REG_LMUL.U)
1097b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 4 == 0) src2 + (i/4).U else if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1098b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1099b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := (if (i % 4 == 0) false.B else true.B)
1100b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1101b94b1889Sxiaofeibao-xjtu          }
1102b94b1889Sxiaofeibao-xjtu        }
1103b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e16) {
1104b94b1889Sxiaofeibao-xjtu          val vlmax = 64
1105b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1106b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1107b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 8 == 0) src2 + (i/8).U else VECTOR_TMP_REG_LMUL.U)
1108b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 8 == 0) src2 + (i/8).U else if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1109b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1110b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_8 := (if (i % 8 == 0) false.B else true.B)
1111b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1112b94b1889Sxiaofeibao-xjtu          }
1113b94b1889Sxiaofeibao-xjtu        }
1114b94b1889Sxiaofeibao-xjtu      }
1115b94b1889Sxiaofeibao-xjtu      when(vlmul === VLmul.m4) {
1116b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e64) {
1117b94b1889Sxiaofeibao-xjtu          val vlmax = 8
1118b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1119b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1120b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 2 == 0) src2 + (i/2).U else VECTOR_TMP_REG_LMUL.U)
1121b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 2 == 0) src2 + (i/2).U else if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1122b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1123b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_2 := (if (i % 2 == 0) false.B else true.B)
1124b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1125b94b1889Sxiaofeibao-xjtu          }
1126b94b1889Sxiaofeibao-xjtu        }
1127b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e32) {
1128b94b1889Sxiaofeibao-xjtu          val vlmax = 16
1129b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1130b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1131b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 4 == 0) src2 + (i/4).U else VECTOR_TMP_REG_LMUL.U)
1132b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 4 == 0) src2 + (i/4).U else if (i == vlmax - 1) dest else if (i % 4 == 1) Mux(isWiden, src2 + (i/4).U, VECTOR_TMP_REG_LMUL.U) else VECTOR_TMP_REG_LMUL.U)
1133b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1134b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_2 := isWiden && (if (i % 4 == 0) false.B else true.B)
1135b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := !isWiden && (if (i % 4 == 0) false.B else true.B)
1136b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1137b94b1889Sxiaofeibao-xjtu          }
1138b94b1889Sxiaofeibao-xjtu        }
1139b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e16) {
1140b94b1889Sxiaofeibao-xjtu          val vlmax = 32
1141b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1142b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1143b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 8 == 0) src2 + (i/8).U else VECTOR_TMP_REG_LMUL.U)
1144b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 8 == 0) src2 + (i/8).U else if (i == vlmax - 1) dest else if (i % 8 == 1) Mux(isWiden, src2 + (i/8).U, VECTOR_TMP_REG_LMUL.U) else VECTOR_TMP_REG_LMUL.U)
1145b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1146b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := isWiden && (if (i % 8 == 0) false.B else true.B)
1147b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_8 := !isWiden && (if (i % 8 == 0) false.B else true.B)
1148b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1149b94b1889Sxiaofeibao-xjtu          }
1150b94b1889Sxiaofeibao-xjtu        }
1151b94b1889Sxiaofeibao-xjtu      }
1152b94b1889Sxiaofeibao-xjtu      when(vlmul === VLmul.m2) {
1153b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e64) {
1154b94b1889Sxiaofeibao-xjtu          val vlmax = 4
1155b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1156b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1157b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 2 == 0) src2 + (i/2).U else VECTOR_TMP_REG_LMUL.U)
1158b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 2 == 0) src2 + (i/2).U else if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1159b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1160b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_2 := (if (i % 2 == 0) false.B else true.B)
1161b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1162b94b1889Sxiaofeibao-xjtu          }
1163b94b1889Sxiaofeibao-xjtu        }
1164b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e32) {
1165b94b1889Sxiaofeibao-xjtu          val vlmax = 8
1166b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1167b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1168b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 4 == 0) src2 + (i/4).U else VECTOR_TMP_REG_LMUL.U)
1169b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 4 == 0) src2 + (i/4).U else if (i == vlmax - 1) dest else if (i % 4 == 1) Mux(isWiden, src2 + (i/4).U, VECTOR_TMP_REG_LMUL.U) else VECTOR_TMP_REG_LMUL.U)
1170b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1171b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_2 := isWiden && (if (i % 4 == 0) false.B else true.B)
1172b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := !isWiden && (if (i % 4 == 0) false.B else true.B)
1173b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1174b94b1889Sxiaofeibao-xjtu          }
1175b94b1889Sxiaofeibao-xjtu        }
1176b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e16) {
1177b94b1889Sxiaofeibao-xjtu          val vlmax = 16
1178b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1179b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1180b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 8 == 0) src2 + (i/8).U else VECTOR_TMP_REG_LMUL.U)
1181b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 8 == 0) src2 + (i/8).U else if (i == vlmax - 1) dest else if (i % 8 == 1) Mux(isWiden, src2 + (i/8).U, VECTOR_TMP_REG_LMUL.U) else VECTOR_TMP_REG_LMUL.U)
1182b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1183b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := isWiden && (if (i % 8 == 0) false.B else true.B)
1184b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_8 := !isWiden && (if (i % 8 == 0) false.B else true.B)
1185b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1186b94b1889Sxiaofeibao-xjtu          }
1187b94b1889Sxiaofeibao-xjtu        }
1188b94b1889Sxiaofeibao-xjtu      }
1189b94b1889Sxiaofeibao-xjtu      when(vlmul === VLmul.m1) {
1190b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e64) {
1191b94b1889Sxiaofeibao-xjtu          val vlmax = 2
1192b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1193b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1194b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 2 == 0) src2 + (i/2).U else VECTOR_TMP_REG_LMUL.U)
1195b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 2 == 0) src2 + (i/2).U else if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1196b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1197b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_2 := (if (i % 2 == 0) false.B else true.B)
1198b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1199b94b1889Sxiaofeibao-xjtu          }
1200b94b1889Sxiaofeibao-xjtu        }
1201b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e32) {
1202b94b1889Sxiaofeibao-xjtu          val vlmax = 4
1203b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1204b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1205b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 4 == 0) src2 + (i/4).U else VECTOR_TMP_REG_LMUL.U)
1206b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 4 == 0) src2 + (i/4).U else if (i == vlmax - 1) dest else if (i % 4 == 1) Mux(isWiden, src2 + (i/4).U, VECTOR_TMP_REG_LMUL.U) else VECTOR_TMP_REG_LMUL.U)
1207b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1208b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_2 := isWiden && (if (i % 4 == 0) false.B else true.B)
1209b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := !isWiden && (if (i % 4 == 0) false.B else true.B)
1210b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1211b94b1889Sxiaofeibao-xjtu          }
1212b94b1889Sxiaofeibao-xjtu        }
1213b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e16) {
1214b94b1889Sxiaofeibao-xjtu          val vlmax = 8
1215b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1216b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1217b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 8 == 0) src2 + (i/8).U else VECTOR_TMP_REG_LMUL.U)
1218b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 8 == 0) src2 + (i/8).U else if (i == vlmax - 1) dest else if (i % 8 == 1) Mux(isWiden, src2 + (i/8).U, VECTOR_TMP_REG_LMUL.U) else VECTOR_TMP_REG_LMUL.U)
1219b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1220b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := isWiden && (if (i % 8 == 0) false.B else true.B)
1221b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_8 := !isWiden && (if (i % 8 == 0) false.B else true.B)
1222b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1223b94b1889Sxiaofeibao-xjtu          }
1224b94b1889Sxiaofeibao-xjtu        }
1225b94b1889Sxiaofeibao-xjtu      }
1226b94b1889Sxiaofeibao-xjtu      when(vlmul === VLmul.mf2) {
1227b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e32) {
1228b94b1889Sxiaofeibao-xjtu          val vlmax = 2
1229b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1230b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1231b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 4 == 0) src2 + (i/4).U else VECTOR_TMP_REG_LMUL.U)
1232b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 4 == 0) src2 + (i/4).U else if (i == vlmax - 1) dest else if (i % 4 == 1) Mux(isWiden, src2 + (i/4).U, VECTOR_TMP_REG_LMUL.U) else VECTOR_TMP_REG_LMUL.U)
1233b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1234b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_2 := isWiden && (if (i % 4 == 0) false.B else true.B)
1235b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := !isWiden && (if (i % 4 == 0) false.B else true.B)
1236b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1237b94b1889Sxiaofeibao-xjtu          }
1238b94b1889Sxiaofeibao-xjtu        }
1239b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e16) {
1240b94b1889Sxiaofeibao-xjtu          val vlmax = 4
1241b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1242b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1243b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 8 == 0) src2 + (i/8).U else VECTOR_TMP_REG_LMUL.U)
1244b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 8 == 0) src2 + (i/8).U else if (i == vlmax - 1) dest else if (i % 8 == 1) Mux(isWiden, src2 + (i/8).U, VECTOR_TMP_REG_LMUL.U) else VECTOR_TMP_REG_LMUL.U)
1245b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1246b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := isWiden && (if (i % 8 == 0) false.B else true.B)
1247b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_8 := !isWiden && (if (i % 8 == 0) false.B else true.B)
1248b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1249b94b1889Sxiaofeibao-xjtu          }
1250b94b1889Sxiaofeibao-xjtu        }
1251b94b1889Sxiaofeibao-xjtu      }
1252b94b1889Sxiaofeibao-xjtu      when(vlmul === VLmul.mf4) {
1253b94b1889Sxiaofeibao-xjtu        when(vsew === VSew.e16) {
1254b94b1889Sxiaofeibao-xjtu          val vlmax = 2
1255b94b1889Sxiaofeibao-xjtu          for (i <- 0 until vlmax) {
1256b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(0) := (if (i == 0) src1 else VECTOR_TMP_REG_LMUL.U)
1257b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(1) := (if (i % 8 == 0) src2 + (i/8).U else VECTOR_TMP_REG_LMUL.U)
1258b94b1889Sxiaofeibao-xjtu            csBundle(i).lsrc(2) := (if (i % 8 == 0) src2 + (i/8).U else if (i == vlmax - 1) dest else if (i % 8 == 1) Mux(isWiden, src2 + (i/8).U, VECTOR_TMP_REG_LMUL.U) else VECTOR_TMP_REG_LMUL.U)
1259b94b1889Sxiaofeibao-xjtu            csBundle(i).ldest := (if (i == vlmax - 1) dest else VECTOR_TMP_REG_LMUL.U)
1260b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_4 := isWiden && (if (i % 8 == 0) false.B else true.B)
1261b94b1889Sxiaofeibao-xjtu            csBundle(i).vpu.fpu.isFoldTo1_8 := !isWiden && (if (i % 8 == 0) false.B else true.B)
1262b94b1889Sxiaofeibao-xjtu            csBundle(i).uopIdx := i.U
1263b94b1889Sxiaofeibao-xjtu          }
1264b94b1889Sxiaofeibao-xjtu        }
1265b94b1889Sxiaofeibao-xjtu      }
1266b94b1889Sxiaofeibao-xjtu    }
1267d6059658SZiyue Zhang
126817ec87f2SXuan Hu    is(UopSplitType.VEC_SLIDEUP) {
1269d6059658SZiyue Zhang      // i to vector move
1270d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
1271d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
1272d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
1273fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
1274fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
1275b1712600SZiyue Zhang      csBundle(0).fuOpType := Cat(Mux(src1IsImm, IF2VectorType.imm2Vec(2, 0), IF2VectorType.i2Vec(2, 0)), vsewReg)
1276fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
1277d91483a6Sfdy      // LMUL
1278d91483a6Sfdy      for (i <- 0 until MAX_VLMUL)
1279d91483a6Sfdy        for (j <- 0 to i) {
12804ee69032SzhanglyGit          val old_vd = if (j == 0) {
12814ee69032SzhanglyGit            dest + i.U
1282fc85f18fSZiyue Zhang          } else (VECTOR_TMP_REG_LMUL + j).U
12834ee69032SzhanglyGit          val vd = if (j == i) {
12844ee69032SzhanglyGit            dest + i.U
1285fc85f18fSZiyue Zhang          } else (VECTOR_TMP_REG_LMUL + j + 1).U
1286fc85f18fSZiyue Zhang          csBundle(i * (i + 1) / 2 + j + 1).srcType(0) := SrcType.vp
1287fc85f18fSZiyue Zhang          csBundle(i * (i + 1) / 2 + j + 1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
1288d91483a6Sfdy          csBundle(i * (i + 1) / 2 + j + 1).lsrc(1) := src2 + j.U
1289d91483a6Sfdy          csBundle(i * (i + 1) / 2 + j + 1).lsrc(2) := old_vd
1290d91483a6Sfdy          csBundle(i * (i + 1) / 2 + j + 1).ldest := vd
1291d91483a6Sfdy          csBundle(i * (i + 1) / 2 + j + 1).uopIdx := (i * (i + 1) / 2 + j).U
1292d91483a6Sfdy        }
1293d91483a6Sfdy    }
1294d91483a6Sfdy
129517ec87f2SXuan Hu    is(UopSplitType.VEC_SLIDEDOWN) {
1296d6059658SZiyue Zhang      // i to vector move
1297d91483a6Sfdy      csBundle(0).srcType(0) := SrcType.reg
1298d91483a6Sfdy      csBundle(0).srcType(1) := SrcType.imm
1299d91483a6Sfdy      csBundle(0).lsrc(1) := 0.U
1300fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
1301fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
1302b1712600SZiyue Zhang      csBundle(0).fuOpType := Cat(Mux(src1IsImm, IF2VectorType.imm2Vec(2, 0), IF2VectorType.i2Vec(2, 0)), vsewReg)
1303fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
1304d91483a6Sfdy      // LMUL
1305d91483a6Sfdy      for (i <- 0 until MAX_VLMUL)
1306d91483a6Sfdy        for (j <- (0 to i).reverse) {
1307d91483a6Sfdy          when(i.U < lmul) {
13084ee69032SzhanglyGit            val old_vd = if (j == 0) {
13094ee69032SzhanglyGit              dest + lmul - 1.U - i.U
1310fc85f18fSZiyue Zhang            } else (VECTOR_TMP_REG_LMUL + j).U
13114ee69032SzhanglyGit            val vd = if (j == i) {
13124ee69032SzhanglyGit              dest + lmul - 1.U - i.U
1313fc85f18fSZiyue Zhang            } else (VECTOR_TMP_REG_LMUL + j + 1).U
1314fc85f18fSZiyue Zhang            csBundle(numOfUop - (i * (i + 1) / 2 + i - j + 1).U).srcType(0) := SrcType.vp
1315fc85f18fSZiyue Zhang            csBundle(numOfUop - (i * (i + 1) / 2 + i - j + 1).U).lsrc(0) := VECTOR_TMP_REG_LMUL.U
1316d91483a6Sfdy            csBundle(numOfUop - (i * (i + 1) / 2 + i - j + 1).U).lsrc(1) := src2 + lmul - 1.U - j.U
1317d91483a6Sfdy            csBundle(numOfUop - (i * (i + 1) / 2 + i - j + 1).U).lsrc(2) := old_vd
1318d91483a6Sfdy            csBundle(numOfUop - (i * (i + 1) / 2 + i - j + 1).U).ldest := vd
1319d91483a6Sfdy            csBundle(numOfUop - (i * (i + 1) / 2 + i - j + 1).U).uopIdx := numOfUop - (i * (i + 1) / 2 + i - j + 2).U
1320d91483a6Sfdy          }
1321d91483a6Sfdy        }
1322d91483a6Sfdy    }
1323d91483a6Sfdy
132417ec87f2SXuan Hu    is(UopSplitType.VEC_M0X) {
1325d91483a6Sfdy      // LMUL
1326d91483a6Sfdy      for (i <- 0 until MAX_VLMUL) {
1327d91483a6Sfdy        val srcType0 = if (i == 0) SrcType.DC else SrcType.vp
1328d91483a6Sfdy        val ldest = (VECTOR_TMP_REG_LMUL + i).U
1329d91483a6Sfdy        csBundle(i).srcType(0) := srcType0
1330d91483a6Sfdy        csBundle(i).srcType(1) := SrcType.vp
1331d91483a6Sfdy        csBundle(i).rfWen := false.B
1332cd2c45feSZiyue Zhang        csBundle(i).fpWen := false.B
1333d91483a6Sfdy        csBundle(i).vecWen := true.B
1334d91483a6Sfdy        csBundle(i).lsrc(0) := (VECTOR_TMP_REG_LMUL + i - 1).U
1335d91483a6Sfdy        csBundle(i).lsrc(1) := src2
1336d91483a6Sfdy        // csBundle(i).lsrc(2) := dest + i.U  DontCare
1337d91483a6Sfdy        csBundle(i).ldest := ldest
1338d91483a6Sfdy        csBundle(i).uopIdx := i.U
1339d91483a6Sfdy      }
1340cd2c45feSZiyue Zhang      csBundle(lmul - 1.U).rfWen := true.B
1341cd2c45feSZiyue Zhang      csBundle(lmul - 1.U).fpWen := false.B
1342d91483a6Sfdy      csBundle(lmul - 1.U).vecWen := false.B
1343cd2c45feSZiyue Zhang      csBundle(lmul - 1.U).ldest := dest
1344d91483a6Sfdy    }
1345d91483a6Sfdy
134617ec87f2SXuan Hu    is(UopSplitType.VEC_MVV) {
1347d91483a6Sfdy      // LMUL
1348d91483a6Sfdy      for (i <- 0 until MAX_VLMUL) {
1349d91483a6Sfdy        val srcType0 = if (i == 0) SrcType.DC else SrcType.vp
1350d91483a6Sfdy        csBundle(i * 2 + 0).srcType(0) := srcType0
1351d91483a6Sfdy        csBundle(i * 2 + 0).srcType(1) := SrcType.vp
1352d91483a6Sfdy        csBundle(i * 2 + 0).lsrc(0) := (VECTOR_TMP_REG_LMUL + i - 1).U
1353d91483a6Sfdy        csBundle(i * 2 + 0).lsrc(1) := src2
1354d91483a6Sfdy        csBundle(i * 2 + 0).lsrc(2) := dest + i.U
1355d91483a6Sfdy        csBundle(i * 2 + 0).ldest := dest + i.U
1356d91483a6Sfdy        csBundle(i * 2 + 0).uopIdx := (i * 2 + 0).U
1357d91483a6Sfdy
1358d91483a6Sfdy        csBundle(i * 2 + 1).srcType(0) := srcType0
1359d91483a6Sfdy        csBundle(i * 2 + 1).srcType(1) := SrcType.vp
1360d91483a6Sfdy        csBundle(i * 2 + 1).lsrc(0) := (VECTOR_TMP_REG_LMUL + i - 1).U
1361d91483a6Sfdy        csBundle(i * 2 + 1).lsrc(1) := src2
1362d91483a6Sfdy        // csBundle(i).lsrc(2) := dest + i.U  DontCare
1363d91483a6Sfdy        csBundle(i * 2 + 1).ldest := (VECTOR_TMP_REG_LMUL + i).U
1364d91483a6Sfdy        csBundle(i * 2 + 1).uopIdx := (i * 2 + 1).U
1365d91483a6Sfdy      }
1366d91483a6Sfdy    }
1367d91483a6Sfdy
136817ec87f2SXuan Hu    is(UopSplitType.VEC_M0X_VFIRST) {
1369d91483a6Sfdy      // LMUL
1370cd2c45feSZiyue Zhang      csBundle(0).rfWen := true.B
1371cd2c45feSZiyue Zhang      csBundle(0).fpWen := false.B
1372cd2c45feSZiyue Zhang      csBundle(0).vecWen := false.B
1373cd2c45feSZiyue Zhang      csBundle(0).ldest := dest
1374d91483a6Sfdy    }
1375189ec863SzhanglyGit    is(UopSplitType.VEC_VWW) {
1376189ec863SzhanglyGit      for (i <- 0 until MAX_VLMUL*2) {
1377189ec863SzhanglyGit        when(i.U < lmul){
1378189ec863SzhanglyGit          csBundle(i).srcType(2) := SrcType.DC
1379189ec863SzhanglyGit          csBundle(i).lsrc(0) := src2 + i.U
1380189ec863SzhanglyGit          csBundle(i).lsrc(1) := src2 + i.U
1381189ec863SzhanglyGit          // csBundle(i).lsrc(2) := dest + (2 * i).U
1382189ec863SzhanglyGit          csBundle(i).ldest := (VECTOR_TMP_REG_LMUL + i).U
1383189ec863SzhanglyGit          csBundle(i).uopIdx :=  i.U
1384189ec863SzhanglyGit        } otherwise {
1385189ec863SzhanglyGit          csBundle(i).srcType(2) := SrcType.DC
1386189ec863SzhanglyGit          csBundle(i).lsrc(0) := VECTOR_TMP_REG_LMUL.U + Cat((i.U-lmul),0.U(1.W)) + 1.U
1387189ec863SzhanglyGit          csBundle(i).lsrc(1) := VECTOR_TMP_REG_LMUL.U + Cat((i.U-lmul),0.U(1.W))
1388189ec863SzhanglyGit          // csBundle(i).lsrc(2) := dest + (2 * i).U
1389189ec863SzhanglyGit          csBundle(i).ldest := (VECTOR_TMP_REG_LMUL + i).U
1390189ec863SzhanglyGit          csBundle(i).uopIdx := i.U
1391189ec863SzhanglyGit        }
1392189ec863SzhanglyGit        csBundle(numOfUop-1.U).srcType(2) := SrcType.vp
1393189ec863SzhanglyGit        csBundle(numOfUop-1.U).lsrc(0) := src1
1394189ec863SzhanglyGit        csBundle(numOfUop-1.U).lsrc(2) := dest
1395189ec863SzhanglyGit        csBundle(numOfUop-1.U).ldest := dest
1396189ec863SzhanglyGit      }
1397189ec863SzhanglyGit    }
1398189ec863SzhanglyGit    is(UopSplitType.VEC_RGATHER) {
1399189ec863SzhanglyGit      def genCsBundle_VEC_RGATHER(len:Int): Unit ={
1400189ec863SzhanglyGit        for (i <- 0 until len)
1401189ec863SzhanglyGit          for (j <- 0 until len) {
1402189ec863SzhanglyGit            // csBundle(i * len + j).srcType(0) := SrcType.vp // SrcType.imm
1403189ec863SzhanglyGit            // csBundle(i * len + j).srcType(1) := SrcType.vp
1404189ec863SzhanglyGit            // csBundle(i * len + j).srcType(2) := SrcType.vp
1405189ec863SzhanglyGit            csBundle(i * len + j).lsrc(0) := src1 + i.U
1406189ec863SzhanglyGit            csBundle(i * len + j).lsrc(1) := src2 + j.U
1407189ec863SzhanglyGit            val vd_old = if(j==0) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j - 1).U
1408189ec863SzhanglyGit            csBundle(i * len + j).lsrc(2) := vd_old
1409189ec863SzhanglyGit            val vd = if(j==len-1) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j).U
1410189ec863SzhanglyGit            csBundle(i * len + j).ldest := vd
1411189ec863SzhanglyGit            csBundle(i * len + j).uopIdx := (i * len + j).U
1412189ec863SzhanglyGit          }
1413189ec863SzhanglyGit      }
1414aaa08c5aSxiaofeibao-xjtu      switch(vlmulReg) {
1415189ec863SzhanglyGit        is("b001".U ){
1416189ec863SzhanglyGit          genCsBundle_VEC_RGATHER(2)
1417189ec863SzhanglyGit        }
1418189ec863SzhanglyGit        is("b010".U ){
1419189ec863SzhanglyGit          genCsBundle_VEC_RGATHER(4)
1420189ec863SzhanglyGit        }
1421189ec863SzhanglyGit        is("b011".U ){
1422189ec863SzhanglyGit          genCsBundle_VEC_RGATHER(8)
1423189ec863SzhanglyGit        }
1424189ec863SzhanglyGit      }
1425189ec863SzhanglyGit    }
1426189ec863SzhanglyGit    is(UopSplitType.VEC_RGATHER_VX) {
1427189ec863SzhanglyGit      def genCsBundle_RGATHER_VX(len:Int): Unit ={
1428189ec863SzhanglyGit        for (i <- 0 until len)
1429189ec863SzhanglyGit          for (j <- 0 until len) {
1430fc85f18fSZiyue Zhang            csBundle(i * len + j + 1).srcType(0) := SrcType.vp
1431189ec863SzhanglyGit            // csBundle(i * len + j + 1).srcType(1) := SrcType.vp
1432189ec863SzhanglyGit            // csBundle(i * len + j + 1).srcType(2) := SrcType.vp
1433fc85f18fSZiyue Zhang            csBundle(i * len + j + 1).lsrc(0) := VECTOR_TMP_REG_LMUL.U
1434189ec863SzhanglyGit            csBundle(i * len + j + 1).lsrc(1) := src2 + j.U
1435fc85f18fSZiyue Zhang            val vd_old = if(j==0) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j).U
1436189ec863SzhanglyGit            csBundle(i * len + j + 1).lsrc(2) := vd_old
1437fc85f18fSZiyue Zhang            val vd = if(j==len-1) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j + 1).U
1438189ec863SzhanglyGit            csBundle(i * len + j + 1).ldest := vd
1439189ec863SzhanglyGit            csBundle(i * len + j + 1).uopIdx := (i * len + j).U
1440189ec863SzhanglyGit          }
1441189ec863SzhanglyGit      }
1442d6059658SZiyue Zhang      // i to vector move
1443189ec863SzhanglyGit      csBundle(0).srcType(0) := SrcType.reg
1444189ec863SzhanglyGit      csBundle(0).srcType(1) := SrcType.imm
1445189ec863SzhanglyGit      csBundle(0).lsrc(1) := 0.U
1446fc85f18fSZiyue Zhang      csBundle(0).ldest := VECTOR_TMP_REG_LMUL.U
1447fc85f18fSZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
1448b1712600SZiyue Zhang      csBundle(0).fuOpType := Cat(Mux(src1IsImm, IF2VectorType.imm2Vec(2, 0), IF2VectorType.i2Vec(2, 0)), vsewReg)
144993a5bfb8SZiyue Zhang      csBundle(0).rfWen := false.B
145093a5bfb8SZiyue Zhang      csBundle(0).fpWen := false.B
1451fc85f18fSZiyue Zhang      csBundle(0).vecWen := true.B
1452189ec863SzhanglyGit      genCsBundle_RGATHER_VX(1)
1453783e318eSsinceforYy      switch(vlmulReg) {
1454189ec863SzhanglyGit        is("b001".U ){
1455189ec863SzhanglyGit          genCsBundle_RGATHER_VX(2)
1456189ec863SzhanglyGit        }
1457189ec863SzhanglyGit        is("b010".U ){
1458189ec863SzhanglyGit          genCsBundle_RGATHER_VX(4)
1459189ec863SzhanglyGit        }
1460189ec863SzhanglyGit        is("b011".U ){
1461189ec863SzhanglyGit          genCsBundle_RGATHER_VX(8)
1462189ec863SzhanglyGit        }
1463189ec863SzhanglyGit      }
1464189ec863SzhanglyGit    }
1465189ec863SzhanglyGit    is(UopSplitType.VEC_RGATHEREI16) {
1466189ec863SzhanglyGit      def genCsBundle_VEC_RGATHEREI16_SEW8(len:Int): Unit ={
1467189ec863SzhanglyGit        for (i <- 0 until len)
1468189ec863SzhanglyGit          for (j <- 0 until len) {
1469189ec863SzhanglyGit            val vd_old0 = if(j==0) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j*2-1).U
1470189ec863SzhanglyGit            val vd0 = (VECTOR_TMP_REG_LMUL + j*2 ).U
1471189ec863SzhanglyGit            csBundle((i * len + j)*2+0).lsrc(0) := src1 + (i*2+0).U
1472189ec863SzhanglyGit            csBundle((i * len + j)*2+0).lsrc(1) := src2 + j.U
1473189ec863SzhanglyGit            csBundle((i * len + j)*2+0).lsrc(2) := vd_old0
1474189ec863SzhanglyGit            csBundle((i * len + j)*2+0).ldest := vd0
1475189ec863SzhanglyGit            csBundle((i * len + j)*2+0).uopIdx := ((i * len + j)*2+0).U
1476189ec863SzhanglyGit            val vd_old1 = (VECTOR_TMP_REG_LMUL + j*2).U
1477189ec863SzhanglyGit            val vd1 = if(j==len-1) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j*2+1 ).U
1478189ec863SzhanglyGit            csBundle((i * len + j)*2+1).lsrc(0) := src1 + (i*2+1).U
1479189ec863SzhanglyGit            csBundle((i * len + j)*2+1).lsrc(1) := src2 + j.U
1480189ec863SzhanglyGit            csBundle((i * len + j)*2+1).lsrc(2) := vd_old1
1481189ec863SzhanglyGit            csBundle((i * len + j)*2+1).ldest := vd1
1482189ec863SzhanglyGit            csBundle((i * len + j)*2+1).uopIdx := ((i * len + j)*2+1).U
1483189ec863SzhanglyGit          }
1484189ec863SzhanglyGit      }
1485189ec863SzhanglyGit      def genCsBundle_VEC_RGATHEREI16(len:Int): Unit ={
1486189ec863SzhanglyGit        for (i <- 0 until len)
1487189ec863SzhanglyGit          for (j <- 0 until len) {
1488189ec863SzhanglyGit            val vd_old = if(j==0) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j-1).U
1489189ec863SzhanglyGit            val vd = if(j==len-1) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j).U
1490189ec863SzhanglyGit            csBundle(i * len + j).lsrc(0) := src1 + i.U
1491189ec863SzhanglyGit            csBundle(i * len + j).lsrc(1) := src2 + j.U
1492189ec863SzhanglyGit            csBundle(i * len + j).lsrc(2) := vd_old
1493189ec863SzhanglyGit            csBundle(i * len + j).ldest := vd
1494189ec863SzhanglyGit            csBundle(i * len + j).uopIdx := (i * len + j).U
1495189ec863SzhanglyGit          }
1496189ec863SzhanglyGit      }
149793a5bfb8SZiyue Zhang      def genCsBundle_VEC_RGATHEREI16_SEW32(len:Int): Unit ={
149893a5bfb8SZiyue Zhang        for (i <- 0 until len)
149993a5bfb8SZiyue Zhang          for (j <- 0 until len) {
150093a5bfb8SZiyue Zhang            val vd_old = if(j==0) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j-1).U
150193a5bfb8SZiyue Zhang            val vd = if(j==len-1) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j).U
150293a5bfb8SZiyue Zhang            csBundle(i * len + j).lsrc(0) := src1 + (i / 2).U
150393a5bfb8SZiyue Zhang            csBundle(i * len + j).lsrc(1) := src2 + j.U
150493a5bfb8SZiyue Zhang            csBundle(i * len + j).lsrc(2) := vd_old
150593a5bfb8SZiyue Zhang            csBundle(i * len + j).ldest := vd
150693a5bfb8SZiyue Zhang            csBundle(i * len + j).uopIdx := (i * len + j).U
150793a5bfb8SZiyue Zhang          }
150893a5bfb8SZiyue Zhang      }
150993a5bfb8SZiyue Zhang      def genCsBundle_VEC_RGATHEREI16_SEW64(len:Int): Unit ={
151093a5bfb8SZiyue Zhang        for (i <- 0 until len)
151193a5bfb8SZiyue Zhang          for (j <- 0 until len) {
151293a5bfb8SZiyue Zhang            val vd_old = if(j==0) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j-1).U
151393a5bfb8SZiyue Zhang            val vd = if(j==len-1) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j).U
151493a5bfb8SZiyue Zhang            csBundle(i * len + j).lsrc(0) := src1 + (i / 4).U
151593a5bfb8SZiyue Zhang            csBundle(i * len + j).lsrc(1) := src2 + j.U
151693a5bfb8SZiyue Zhang            csBundle(i * len + j).lsrc(2) := vd_old
151793a5bfb8SZiyue Zhang            csBundle(i * len + j).ldest := vd
151893a5bfb8SZiyue Zhang            csBundle(i * len + j).uopIdx := (i * len + j).U
151993a5bfb8SZiyue Zhang          }
152093a5bfb8SZiyue Zhang      }
1521aaa08c5aSxiaofeibao-xjtu      when(!vsewReg.orR){
1522189ec863SzhanglyGit        genCsBundle_VEC_RGATHEREI16_SEW8(1)
152393a5bfb8SZiyue Zhang      }.elsewhen(vsewReg === VSew.e32){
152493a5bfb8SZiyue Zhang        genCsBundle_VEC_RGATHEREI16_SEW32(1)
152593a5bfb8SZiyue Zhang      }.elsewhen(vsewReg === VSew.e64){
152693a5bfb8SZiyue Zhang        genCsBundle_VEC_RGATHEREI16_SEW64(1)
1527189ec863SzhanglyGit      }.otherwise{
1528189ec863SzhanglyGit        genCsBundle_VEC_RGATHEREI16(1)
1529189ec863SzhanglyGit      }
153093a5bfb8SZiyue Zhang      switch(vlmulReg) {
1531189ec863SzhanglyGit        is("b001".U) {
1532aaa08c5aSxiaofeibao-xjtu          when(!vsewReg.orR) {
1533189ec863SzhanglyGit            genCsBundle_VEC_RGATHEREI16_SEW8(2)
153493a5bfb8SZiyue Zhang          }.elsewhen(vsewReg === VSew.e32){
153593a5bfb8SZiyue Zhang            genCsBundle_VEC_RGATHEREI16_SEW32(2)
153693a5bfb8SZiyue Zhang          }.elsewhen(vsewReg === VSew.e64){
153793a5bfb8SZiyue Zhang            genCsBundle_VEC_RGATHEREI16_SEW64(2)
1538189ec863SzhanglyGit          }.otherwise{
1539189ec863SzhanglyGit            genCsBundle_VEC_RGATHEREI16(2)
1540189ec863SzhanglyGit          }
1541189ec863SzhanglyGit        }
1542189ec863SzhanglyGit        is("b010".U) {
1543aaa08c5aSxiaofeibao-xjtu          when(!vsewReg.orR) {
1544189ec863SzhanglyGit            genCsBundle_VEC_RGATHEREI16_SEW8(4)
154593a5bfb8SZiyue Zhang          }.elsewhen(vsewReg === VSew.e32){
154693a5bfb8SZiyue Zhang            genCsBundle_VEC_RGATHEREI16_SEW32(4)
154793a5bfb8SZiyue Zhang          }.elsewhen(vsewReg === VSew.e64){
154893a5bfb8SZiyue Zhang            genCsBundle_VEC_RGATHEREI16_SEW64(4)
1549189ec863SzhanglyGit          }.otherwise{
1550189ec863SzhanglyGit            genCsBundle_VEC_RGATHEREI16(4)
1551189ec863SzhanglyGit          }
1552189ec863SzhanglyGit        }
1553189ec863SzhanglyGit        is("b011".U) {
155493a5bfb8SZiyue Zhang          when(vsewReg === VSew.e32){
155593a5bfb8SZiyue Zhang            genCsBundle_VEC_RGATHEREI16_SEW32(8)
155693a5bfb8SZiyue Zhang          }.elsewhen(vsewReg === VSew.e64){
155793a5bfb8SZiyue Zhang            genCsBundle_VEC_RGATHEREI16_SEW64(8)
155893a5bfb8SZiyue Zhang          }.otherwise{
1559189ec863SzhanglyGit            genCsBundle_VEC_RGATHEREI16(8)
1560189ec863SzhanglyGit          }
1561189ec863SzhanglyGit        }
1562189ec863SzhanglyGit      }
156393a5bfb8SZiyue Zhang    }
1564189ec863SzhanglyGit    is(UopSplitType.VEC_COMPRESS) {
1565189ec863SzhanglyGit      def genCsBundle_VEC_COMPRESS(len:Int): Unit = {
1566189ec863SzhanglyGit        for (i <- 0 until len) {
1567189ec863SzhanglyGit          val jlen = if (i == len-1) i+1 else i+2
1568189ec863SzhanglyGit          for (j <- 0 until jlen) {
1569189ec863SzhanglyGit            val vd_old = if(i==j) (dest + i.U) else (VECTOR_TMP_REG_LMUL + j + 1).U
1570189ec863SzhanglyGit            val vd = if(i==len-1) (dest + j.U) else {
1571189ec863SzhanglyGit              if (j == i+1) VECTOR_TMP_REG_LMUL.U else (VECTOR_TMP_REG_LMUL + j + 1).U
1572189ec863SzhanglyGit            }
15735da52072SsinceforYy            val src13Type = if (j == i+1) DontCare else SrcType.vp
15745da52072SsinceforYy            csBundle(i*(i+3)/2 + j).srcType(0) := src13Type
15755da52072SsinceforYy            csBundle(i*(i+3)/2 + j).srcType(1) := SrcType.vp
15765da52072SsinceforYy            csBundle(i*(i+3)/2 + j).srcType(2) := src13Type
15775da52072SsinceforYy            csBundle(i*(i+3)/2 + j).srcType(3) := SrcType.vp
1578189ec863SzhanglyGit            csBundle(i*(i+3)/2 + j).lsrc(0) := src1
1579189ec863SzhanglyGit            csBundle(i*(i+3)/2 + j).lsrc(1) := src2 + i.U
1580189ec863SzhanglyGit            csBundle(i*(i+3)/2 + j).lsrc(2) := vd_old
15815da52072SsinceforYy            csBundle(i*(i+3)/2 + j).lsrc(3) := VECTOR_TMP_REG_LMUL.U
1582189ec863SzhanglyGit            csBundle(i*(i+3)/2 + j).ldest := vd
1583189ec863SzhanglyGit            csBundle(i*(i+3)/2 + j).uopIdx := (i*(i+3)/2 + j).U
1584189ec863SzhanglyGit          }
1585189ec863SzhanglyGit        }
1586189ec863SzhanglyGit      }
1587aaa08c5aSxiaofeibao-xjtu      switch(vlmulReg) {
1588189ec863SzhanglyGit        is("b001".U ){
1589189ec863SzhanglyGit          genCsBundle_VEC_COMPRESS(2)
1590189ec863SzhanglyGit        }
1591189ec863SzhanglyGit        is("b010".U ){
1592189ec863SzhanglyGit          genCsBundle_VEC_COMPRESS(4)
1593189ec863SzhanglyGit        }
1594189ec863SzhanglyGit        is("b011".U ){
1595189ec863SzhanglyGit          genCsBundle_VEC_COMPRESS(8)
1596189ec863SzhanglyGit        }
1597189ec863SzhanglyGit      }
1598189ec863SzhanglyGit    }
15990a34fc22SZiyue Zhang    is(UopSplitType.VEC_MVNR) {
16000a34fc22SZiyue Zhang      for (i <- 0 until MAX_VLMUL) {
16010a34fc22SZiyue Zhang        csBundle(i).lsrc(0) := src1 + i.U
16020a34fc22SZiyue Zhang        csBundle(i).lsrc(1) := src2 + i.U
16030a34fc22SZiyue Zhang        csBundle(i).lsrc(2) := dest + i.U
16040a34fc22SZiyue Zhang        csBundle(i).ldest := dest + i.U
16050a34fc22SZiyue Zhang        csBundle(i).uopIdx := i.U
16060a34fc22SZiyue Zhang      }
16070a34fc22SZiyue Zhang    }
1608c4501a6fSZiyue-Zhang    is(UopSplitType.VEC_US_LDST) {
16094ee69032SzhanglyGit      /*
16104ee69032SzhanglyGit      FMV.D.X
16114ee69032SzhanglyGit       */
16124ee69032SzhanglyGit      csBundle(0).srcType(0) := SrcType.reg
16134ee69032SzhanglyGit      csBundle(0).srcType(1) := SrcType.imm
16144ee69032SzhanglyGit      csBundle(0).lsrc(1) := 0.U
16154ee69032SzhanglyGit      csBundle(0).ldest := FP_TMP_REG_MV.U
1616964d9a87SZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
1617964d9a87SZiyue Zhang      csBundle(0).fuOpType := Cat(IF2VectorType.i2Vec(2, 0), e64)
16184ee69032SzhanglyGit      csBundle(0).rfWen := false.B
16194ee69032SzhanglyGit      csBundle(0).fpWen := true.B
16204ee69032SzhanglyGit      csBundle(0).vecWen := false.B
162131c51290Szhanglinjuan      csBundle(0).vlsInstr := true.B
16224ee69032SzhanglyGit      //LMUL
16234ee69032SzhanglyGit      for (i <- 0 until MAX_VLMUL) {
16244ee69032SzhanglyGit        csBundle(i + 1).srcType(0) := SrcType.fp
16254ee69032SzhanglyGit        csBundle(i + 1).lsrc(0) := FP_TMP_REG_MV.U
16264dfab1f2Szhanglinjuan        csBundle(i + 1).lsrc(2) := dest + i.U // old vd
16274ee69032SzhanglyGit        csBundle(i + 1).ldest := dest + i.U
16284ee69032SzhanglyGit        csBundle(i + 1).uopIdx := i.U
162931c51290Szhanglinjuan        csBundle(i + 1).vlsInstr := true.B
16304ee69032SzhanglyGit      }
16314aa00286SXuan Hu      csBundle.head.waitForward := isUsSegment
16324aa00286SXuan Hu      csBundle(numOfUop - 1.U).blockBackward := isUsSegment
16334ee69032SzhanglyGit    }
1634c4501a6fSZiyue-Zhang    is(UopSplitType.VEC_S_LDST) {
1635c4501a6fSZiyue-Zhang      /*
1636c4501a6fSZiyue-Zhang      FMV.D.X
1637c4501a6fSZiyue-Zhang       */
1638c4501a6fSZiyue-Zhang      csBundle(0).srcType(0) := SrcType.reg
1639c4501a6fSZiyue-Zhang      csBundle(0).srcType(1) := SrcType.imm
1640c4501a6fSZiyue-Zhang      csBundle(0).lsrc(1) := 0.U
1641c4501a6fSZiyue-Zhang      csBundle(0).ldest := FP_TMP_REG_MV.U
1642964d9a87SZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
1643964d9a87SZiyue Zhang      csBundle(0).fuOpType := Cat(IF2VectorType.i2Vec(2, 0), e64)
1644c4501a6fSZiyue-Zhang      csBundle(0).rfWen := false.B
1645c4501a6fSZiyue-Zhang      csBundle(0).fpWen := true.B
1646c4501a6fSZiyue-Zhang      csBundle(0).vecWen := false.B
164731c51290Szhanglinjuan      csBundle(0).vlsInstr := true.B
1648c4501a6fSZiyue-Zhang
16496a926cf7SXuan Hu      csBundle(1).srcType(0) := SrcType.reg
16506a926cf7SXuan Hu      csBundle(1).srcType(1) := SrcType.imm
1651e25c13faSXuan Hu      csBundle(1).lsrc(0) := latchedInst.lsrc(1)
16526a926cf7SXuan Hu      csBundle(1).lsrc(1) := 0.U
1653c4501a6fSZiyue-Zhang      csBundle(1).ldest := VECTOR_TMP_REG_LMUL.U
1654964d9a87SZiyue Zhang      csBundle(1).fuType := FuType.i2v.U
1655964d9a87SZiyue Zhang      csBundle(1).fuOpType := Cat(IF2VectorType.i2Vec(2, 0), e64)
1656c4501a6fSZiyue-Zhang      csBundle(1).rfWen := false.B
1657c4501a6fSZiyue-Zhang      csBundle(1).fpWen := true.B
1658c4501a6fSZiyue-Zhang      csBundle(1).vecWen := false.B
165931c51290Szhanglinjuan      csBundle(1).vlsInstr := true.B
1660c4501a6fSZiyue-Zhang
1661c4501a6fSZiyue-Zhang      //LMUL
1662c4501a6fSZiyue-Zhang      for (i <- 0 until MAX_VLMUL) {
1663c4501a6fSZiyue-Zhang        csBundle(i + 2).srcType(0) := SrcType.fp
16646a926cf7SXuan Hu        csBundle(i + 2).srcType(1) := SrcType.fp
1665c4501a6fSZiyue-Zhang        csBundle(i + 2).lsrc(0) := FP_TMP_REG_MV.U
1666c4501a6fSZiyue-Zhang        csBundle(i + 2).lsrc(1) := VECTOR_TMP_REG_LMUL.U
16674dfab1f2Szhanglinjuan        csBundle(i + 2).lsrc(2) := dest + i.U // old vd
1668c4501a6fSZiyue-Zhang        csBundle(i + 2).ldest := dest + i.U
1669c4501a6fSZiyue-Zhang        csBundle(i + 2).uopIdx := i.U
167031c51290Szhanglinjuan        csBundle(i + 2).vlsInstr := true.B
1671c4501a6fSZiyue-Zhang      }
16724aa00286SXuan Hu      csBundle.head.waitForward := isSdSegment
16734aa00286SXuan Hu      csBundle(numOfUop - 1.U).blockBackward := isSdSegment
1674c4501a6fSZiyue-Zhang    }
1675c4501a6fSZiyue-Zhang    is(UopSplitType.VEC_I_LDST) {
167655f7bedaSZiyue Zhang      def genCsBundle_SEGMENT_INDEXED_LOADSTORE(emul:Int): Unit ={
167755f7bedaSZiyue Zhang        for (i <- 0 until MAX_VLMUL) {
167855f7bedaSZiyue Zhang          val src0Type = SrcType.fp
167955f7bedaSZiyue Zhang          val src1Type = if (i < emul) SrcType.vp else SrcType.no
168055f7bedaSZiyue Zhang          // lsrc0 is useless after uop 0, but we use it to ensure the correctness of the uop dependency
168155f7bedaSZiyue Zhang          val lsrc0 = FP_TMP_REG_MV.U
168255f7bedaSZiyue Zhang          val oldVd = dest + i.U
168355f7bedaSZiyue Zhang          csBundle(i + 1).srcType(0) := src0Type
168455f7bedaSZiyue Zhang          csBundle(i + 1).lsrc(0) := lsrc0
168555f7bedaSZiyue Zhang          csBundle(i + 1).srcType(1) := src1Type
168655f7bedaSZiyue Zhang          csBundle(i + 1).lsrc(1) := src2 + i.U
168755f7bedaSZiyue Zhang          csBundle(i + 1).srcType(2) := SrcType.vp
168855f7bedaSZiyue Zhang          csBundle(i + 1).lsrc(2) := oldVd
168955f7bedaSZiyue Zhang          csBundle(i + 1).ldest := dest + i.U
169055f7bedaSZiyue Zhang          csBundle(i + 1).uopIdx := i.U
169155f7bedaSZiyue Zhang          csBundle(i + 1).vlsInstr := true.B
169255f7bedaSZiyue Zhang        }
169355f7bedaSZiyue Zhang      }
169455f7bedaSZiyue Zhang
16950cd00663SzhanglyGit      val vlmul = vlmulReg
16960cd00663SzhanglyGit      val vsew = Cat(0.U(1.W), vsewReg)
16970cd00663SzhanglyGit      val veew = Cat(0.U(1.W), width)
1698c4501a6fSZiyue-Zhang      val vemul: UInt = veew.asUInt + 1.U + vlmul.asUInt + ~vsew.asUInt
1699c4501a6fSZiyue-Zhang      val simple_lmul = MuxLookup(vlmul, 0.U(2.W), Array(
1700c4501a6fSZiyue-Zhang        "b001".U -> 1.U,
1701c4501a6fSZiyue-Zhang        "b010".U -> 2.U,
1702c4501a6fSZiyue-Zhang        "b011".U -> 3.U
1703c4501a6fSZiyue-Zhang      ))
1704c4501a6fSZiyue-Zhang      val simple_emul = MuxLookup(vemul, 0.U(2.W), Array(
1705c4501a6fSZiyue-Zhang        "b001".U -> 1.U,
1706c4501a6fSZiyue-Zhang        "b010".U -> 2.U,
1707c4501a6fSZiyue-Zhang        "b011".U -> 3.U
1708c4501a6fSZiyue-Zhang      ))
1709c4501a6fSZiyue-Zhang      csBundle(0).srcType(0) := SrcType.reg
1710c4501a6fSZiyue-Zhang      csBundle(0).srcType(1) := SrcType.imm
1711c4501a6fSZiyue-Zhang      csBundle(0).lsrc(1) := 0.U
1712c4501a6fSZiyue-Zhang      csBundle(0).ldest := FP_TMP_REG_MV.U
1713964d9a87SZiyue Zhang      csBundle(0).fuType := FuType.i2v.U
1714964d9a87SZiyue Zhang      csBundle(0).fuOpType := Cat(IF2VectorType.i2Vec(2, 0), e64)
1715c4501a6fSZiyue-Zhang      csBundle(0).rfWen := false.B
1716c4501a6fSZiyue-Zhang      csBundle(0).fpWen := true.B
1717c4501a6fSZiyue-Zhang      csBundle(0).vecWen := false.B
171831c51290Szhanglinjuan      csBundle(0).vlsInstr := true.B
1719c4501a6fSZiyue-Zhang
1720c4501a6fSZiyue-Zhang      //LMUL
172155f7bedaSZiyue Zhang      when(nf === 0.U) {
172255f7bedaSZiyue Zhang        for (i <- 0 until MAX_VLMUL) {
172355f7bedaSZiyue Zhang          indexedLSRegOffset(i).src := Cat(simple_emul, simple_lmul)
1724c4501a6fSZiyue-Zhang          val offsetVs2 = indexedLSRegOffset(i).outOffsetVs2
1725c4501a6fSZiyue-Zhang          val offsetVd = indexedLSRegOffset(i).outOffsetVd
1726c4501a6fSZiyue-Zhang          csBundle(i + 1).srcType(0) := SrcType.fp
1727c4501a6fSZiyue-Zhang          csBundle(i + 1).lsrc(0) := FP_TMP_REG_MV.U
1728c4501a6fSZiyue-Zhang          csBundle(i + 1).lsrc(1) := Mux1H(UIntToOH(offsetVs2, MAX_VLMUL), (0 until MAX_VLMUL).map(j => src2 + j.U))
1729792b1339SAnzooooo          csBundle(i + 1).srcType(2) := SrcType.vp
173055f7bedaSZiyue Zhang          // lsrc2 is old vd
1731792b1339SAnzooooo          csBundle(i + 1).lsrc(2) := Mux1H(UIntToOH(offsetVd, MAX_VLMUL), (0 until MAX_VLMUL).map(j => dest + j.U))
1732c4501a6fSZiyue-Zhang          csBundle(i + 1).ldest := Mux1H(UIntToOH(offsetVd, MAX_VLMUL), (0 until MAX_VLMUL).map(j => dest + j.U))
1733c4501a6fSZiyue-Zhang          csBundle(i + 1).uopIdx := i.U
173431c51290Szhanglinjuan          csBundle(i + 1).vlsInstr := true.B
1735c4501a6fSZiyue-Zhang        }
173655f7bedaSZiyue Zhang      }.otherwise{
173755f7bedaSZiyue Zhang        // nf > 1, is segment indexed load/store
173855f7bedaSZiyue Zhang        genCsBundle_SEGMENT_INDEXED_LOADSTORE(1)
173955f7bedaSZiyue Zhang        switch(vemul) {
174055f7bedaSZiyue Zhang          is("b001".U ){
174155f7bedaSZiyue Zhang            genCsBundle_SEGMENT_INDEXED_LOADSTORE(2)
174255f7bedaSZiyue Zhang          }
174355f7bedaSZiyue Zhang          is("b010".U ){
174455f7bedaSZiyue Zhang            genCsBundle_SEGMENT_INDEXED_LOADSTORE(4)
174555f7bedaSZiyue Zhang          }
174655f7bedaSZiyue Zhang          is("b011".U ){
174755f7bedaSZiyue Zhang            genCsBundle_SEGMENT_INDEXED_LOADSTORE(8)
174855f7bedaSZiyue Zhang          }
174955f7bedaSZiyue Zhang        }
175055f7bedaSZiyue Zhang      }
17514aa00286SXuan Hu      csBundle.head.waitForward := isIxSegment
17524aa00286SXuan Hu      csBundle(numOfUop - 1.U).blockBackward := isIxSegment
1753c4501a6fSZiyue-Zhang    }
1754d91483a6Sfdy  }
1755d91483a6Sfdy
1756d91483a6Sfdy  //readyFromRename Counter
1757e25c13faSXuan Hu  val readyCounter = PriorityMuxDefault(outReadys.map(x => !x).zip((0 until RenameWidth).map(_.U)), RenameWidth.U)
1758e25c13faSXuan Hu
1759e25c13faSXuan Hu  // The left uops of the complex inst in ComplexDecoder can be send out this cycle
1760e25c13faSXuan Hu  val thisAllOut = uopRes <= readyCounter
1761d91483a6Sfdy
1762189ec863SzhanglyGit  switch(state) {
1763e25c13faSXuan Hu    is(s_idle) {
1764e25c13faSXuan Hu      when (inValid) {
1765e25c13faSXuan Hu        stateNext := s_active
1766e25c13faSXuan Hu        uopResNext := inUopInfo.numOfUop
1767d91483a6Sfdy      }
1768e25c13faSXuan Hu    }
1769e25c13faSXuan Hu    is(s_active) {
1770e25c13faSXuan Hu      when (thisAllOut) {
1771e25c13faSXuan Hu        when (inValid) {
1772e25c13faSXuan Hu          stateNext := s_active
1773e25c13faSXuan Hu          uopResNext := inUopInfo.numOfUop
1774e25c13faSXuan Hu        }.otherwise {
1775e25c13faSXuan Hu          stateNext := s_idle
1776e25c13faSXuan Hu          uopResNext := 0.U
1777e25c13faSXuan Hu        }
1778e25c13faSXuan Hu      }.otherwise {
1779e25c13faSXuan Hu        stateNext := s_active
1780e25c13faSXuan Hu        uopResNext := uopRes - readyCounter
1781e25c13faSXuan Hu      }
1782d91483a6Sfdy    }
1783d91483a6Sfdy  }
1784d91483a6Sfdy
1785e25c13faSXuan Hu  state := Mux(io.redirect, s_idle, stateNext)
1786e25c13faSXuan Hu  uopRes := Mux(io.redirect, 0.U, uopResNext)
1787189ec863SzhanglyGit
1788e25c13faSXuan Hu  val complexNum = Mux(uopRes > readyCounter, readyCounter, uopRes)
1789d91483a6Sfdy
1790d91483a6Sfdy  for(i <- 0 until RenameWidth) {
1791e25c13faSXuan Hu    outValids(i) := complexNum > i.U
1792e25c13faSXuan Hu    outDecodedInsts(i) := Mux((i.U + numOfUop - uopRes) < maxUopSize.U, csBundle(i.U + numOfUop - uopRes), csBundle(maxUopSize - 1))
1793d91483a6Sfdy  }
1794d91483a6Sfdy
1795e25c13faSXuan Hu  outComplexNum := Mux(state === s_active, complexNum, 0.U)
1796e25c13faSXuan Hu  inReady := state === s_idle || state === s_active && thisAllOut
1797d91483a6Sfdy
1798e25c13faSXuan Hu//  val validSimple = Wire(Vec(DecodeWidth, Bool()))
1799e25c13faSXuan Hu//  validSimple.zip(io.validFromIBuf.zip(io.isComplex)).map{ case (dst, (src1, src2)) => dst := src1 && !src2 }
1800e25c13faSXuan Hu//  val notInf = Wire(Vec(DecodeWidth, Bool()))
1801e25c13faSXuan Hu//  notInf.drop(1).zip(io.validFromIBuf.drop(1).zip(validSimple.drop(1))).map{ case (dst, (src1, src2)) => dst := !src1 || src2 }
1802e25c13faSXuan Hu//  notInf(0) := !io.validFromIBuf(0) || validSimple(0) || (io.isComplex(0) && io.in0pc === io.simple.decodedInst.pc)
1803e25c13faSXuan Hu//  val notInfVec = Wire(Vec(DecodeWidth, Bool()))
1804e25c13faSXuan Hu//  notInfVec.zipWithIndex.map{ case (dst, i) => dst := Cat(notInf.take(i + 1)).andR}
1805e25c13faSXuan Hu//
1806e25c13faSXuan Hu//  complexNum := Mux(io.validFromIBuf(0) && readyCounter.orR ,
1807e25c13faSXuan Hu//    Mux(uopRes0 > readyCounter, readyCounter, uopRes0),
1808e25c13faSXuan Hu//    0.U)
1809e25c13faSXuan Hu//  validToRename.zipWithIndex.foreach{
1810e25c13faSXuan Hu//    case(dst, i) =>
1811e25c13faSXuan Hu//      val validFix = Mux(complexNum.orR, validSimple((i+1).U - complexNum), validSimple(i))
1812e25c13faSXuan Hu//      dst := MuxCase(false.B, Seq(
1813e25c13faSXuan Hu//        (io.validFromIBuf(0) && readyCounter.orR && uopRes0 > readyCounter) -> Mux(readyCounter > i.U, true.B, false.B),
1814e25c13faSXuan Hu//        (io.validFromIBuf(0) && readyCounter.orR && !(uopRes0 > readyCounter)) -> Mux(complexNum > i.U, true.B, validFix && notInfVec(i.U - complexNum) && io.readyFromRename(i)),
1815e25c13faSXuan Hu//      ).toSeq)
1816e25c13faSXuan Hu//  }
1817e25c13faSXuan Hu//
1818e25c13faSXuan Hu//  readyToIBuf.zipWithIndex.foreach {
1819e25c13faSXuan Hu//    case (dst, i) =>
1820e25c13faSXuan Hu//      val readyToIBuf0 = Mux(io.isComplex(0), io.in0pc === io.simple.decodedInst.pc, true.B)
1821e25c13faSXuan Hu//      dst := MuxCase(true.B, Seq(
1822e25c13faSXuan Hu//        (io.validFromIBuf(0) && uopRes0 > readyCounter || !readyCounter.orR) -> false.B,
1823e25c13faSXuan Hu//        (io.validFromIBuf(0) && !(uopRes0 > readyCounter) && readyCounter.orR) -> (if (i==0) readyToIBuf0 else Mux(RenameWidth.U - complexNum >= i.U, notInfVec(i) && validSimple(i) && io.readyFromRename(i), false.B))
1824e25c13faSXuan Hu//      ).toSeq)
1825e25c13faSXuan Hu//  }
1826e25c13faSXuan Hu//
1827e25c13faSXuan Hu//  io.deq.decodedInsts := decodedInsts
1828e25c13faSXuan Hu//  io.deq.complexNum := complexNum
1829e25c13faSXuan Hu//  io.deq.validToRename := validToRename
1830e25c13faSXuan Hu//  io.deq.readyToIBuf := readyToIBuf
1831d91483a6Sfdy}
1832