1c6d43980SLemover/*************************************************************************************** 2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4c6d43980SLemover* 5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 8c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 9c6d43980SLemover* 10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13c6d43980SLemover* 14c6d43980SLemover* See the Mulan PSL v2 for more details. 15c6d43980SLemover***************************************************************************************/ 16c6d43980SLemover 17be25371aSYikeZhoupackage xiangshan.backend.decode 18be25371aSYikeZhou 198891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters 20be25371aSYikeZhouimport chisel3._ 21be25371aSYikeZhouimport chisel3.util._ 22a19215ddSYinan Xuimport freechips.rocketchip.rocket.Instructions 236ab6918fSYinan Xuimport freechips.rocketchip.util.uintToBitPat 24be25371aSYikeZhouimport utils._ 253c02ee8fSwakafaimport utility._ 26*d0de7e4aSpeixiaokunimport xiangshan.ExceptionNO.{illegalInstr, virtualInstr} 276ab6918fSYinan Xuimport xiangshan._ 28361e6d51SJiuyang Liuimport freechips.rocketchip.rocket.Instructions._ 29be25371aSYikeZhou 30be25371aSYikeZhou/** 31be25371aSYikeZhou * Abstract trait giving defaults and other relevant values to different Decode constants/ 32be25371aSYikeZhou */ 33be25371aSYikeZhouabstract trait DecodeConstants { 34361e6d51SJiuyang Liu // This X should be used only in 1-bit signal. Otherwise, use BitPat("b???") to align with the width of UInt. 354d24c305SYikeZhou def X = BitPat("b?") 364d24c305SYikeZhou def N = BitPat("b0") 374d24c305SYikeZhou def Y = BitPat("b1") 384d24c305SYikeZhou 39c2a8ae00SYikeZhou def decodeDefault: List[BitPat] = // illegal instruction 4020e31bd1SYinan Xu // srcType(0) srcType(1) srcType(2) fuType fuOpType rfWen 414d24c305SYikeZhou // | | | | | | fpWen 424d24c305SYikeZhou // | | | | | | | isXSTrap 434d24c305SYikeZhou // | | | | | | | | noSpecExec 444d24c305SYikeZhou // | | | | | | | | | blockBackward 454d24c305SYikeZhou // | | | | | | | | | | flushPipe 466e7c9679Shuxuan0307 // | | | | | | | | | | | selImm 476e7c9679Shuxuan0307 // | | | | | | | | | | | | 486e7c9679Shuxuan0307 List(SrcType.X, SrcType.X, SrcType.X, FuType.X, FuOpType.X, N, N, N, N, N, N, SelImm.INVALID_INSTR) // Use SelImm to indicate invalid instr 494d24c305SYikeZhou 50be25371aSYikeZhou val table: Array[(BitPat, List[BitPat])] 51be25371aSYikeZhou} 52be25371aSYikeZhou 53c2a8ae00SYikeZhoutrait DecodeUnitConstants 544d24c305SYikeZhou{ 554d24c305SYikeZhou // abstract out instruction decode magic numbers 564d24c305SYikeZhou val RD_MSB = 11 574d24c305SYikeZhou val RD_LSB = 7 584d24c305SYikeZhou val RS1_MSB = 19 594d24c305SYikeZhou val RS1_LSB = 15 604d24c305SYikeZhou val RS2_MSB = 24 614d24c305SYikeZhou val RS2_LSB = 20 624d24c305SYikeZhou val RS3_MSB = 31 634d24c305SYikeZhou val RS3_LSB = 27 644d24c305SYikeZhou} 654d24c305SYikeZhou 66be25371aSYikeZhou/** 67be25371aSYikeZhou * Decoded control signals 684d24c305SYikeZhou * See xiangshan/package.scala, xiangshan/backend/package.scala, Bundle.scala 69be25371aSYikeZhou */ 70c2a8ae00SYikeZhou 71be25371aSYikeZhou/** 72be25371aSYikeZhou * Decode constants for RV64 73be25371aSYikeZhou */ 74be25371aSYikeZhouobject X64Decode extends DecodeConstants { 754d24c305SYikeZhou val table: Array[(BitPat, List[BitPat])] = Array( 766e7c9679Shuxuan0307 LD -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.ldu, LSUOpType.ld, Y, N, N, N, N, N, SelImm.IMM_I), 776e7c9679Shuxuan0307 LWU -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.ldu, LSUOpType.lwu, Y, N, N, N, N, N, SelImm.IMM_I), 786e7c9679Shuxuan0307 SD -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.stu, LSUOpType.sd, N, N, N, N, N, N, SelImm.IMM_S), 794d24c305SYikeZhou 806e7c9679Shuxuan0307 SLLI -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.sll, Y, N, N, N, N, N, SelImm.IMM_I), 816e7c9679Shuxuan0307 SRLI -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.srl, Y, N, N, N, N, N, SelImm.IMM_I), 826e7c9679Shuxuan0307 SRAI -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.sra, Y, N, N, N, N, N, SelImm.IMM_I), 834d24c305SYikeZhou 846e7c9679Shuxuan0307 ADDIW -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.addw, Y, N, N, N, N, N, SelImm.IMM_I), 856e7c9679Shuxuan0307 SLLIW -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.sllw, Y, N, N, N, N, N, SelImm.IMM_I), 866e7c9679Shuxuan0307 SRAIW -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.sraw, Y, N, N, N, N, N, SelImm.IMM_I), 876e7c9679Shuxuan0307 SRLIW -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.srlw, Y, N, N, N, N, N, SelImm.IMM_I), 884d24c305SYikeZhou 896e7c9679Shuxuan0307 ADDW -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.addw, Y, N, N, N, N, N, SelImm.X), 906e7c9679Shuxuan0307 SUBW -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.subw, Y, N, N, N, N, N, SelImm.X), 916e7c9679Shuxuan0307 SLLW -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.sllw, Y, N, N, N, N, N, SelImm.X), 926e7c9679Shuxuan0307 SRAW -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.sraw, Y, N, N, N, N, N, SelImm.X), 936e7c9679Shuxuan0307 SRLW -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.srlw, Y, N, N, N, N, N, SelImm.X), 94ee8ff153Szfw 956e7c9679Shuxuan0307 RORW -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.rorw, Y, N, N, N, N, N, SelImm.X), 966e7c9679Shuxuan0307 RORIW -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.rorw, Y, N, N, N, N, N, SelImm.IMM_I), 976e7c9679Shuxuan0307 ROLW -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.rolw, Y, N, N, N, N, N, SelImm.X) 984d24c305SYikeZhou ) 99be25371aSYikeZhou} 100be25371aSYikeZhou 101be25371aSYikeZhou/** 102be25371aSYikeZhou * Overall Decode constants 103be25371aSYikeZhou */ 104be25371aSYikeZhouobject XDecode extends DecodeConstants { 1054d24c305SYikeZhou val table: Array[(BitPat, List[BitPat])] = Array( 1066e7c9679Shuxuan0307 LW -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.ldu, LSUOpType.lw, Y, N, N, N, N, N, SelImm.IMM_I), 1076e7c9679Shuxuan0307 LH -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.ldu, LSUOpType.lh, Y, N, N, N, N, N, SelImm.IMM_I), 1086e7c9679Shuxuan0307 LHU -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.ldu, LSUOpType.lhu, Y, N, N, N, N, N, SelImm.IMM_I), 1096e7c9679Shuxuan0307 LB -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.ldu, LSUOpType.lb, Y, N, N, N, N, N, SelImm.IMM_I), 1106e7c9679Shuxuan0307 LBU -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.ldu, LSUOpType.lbu, Y, N, N, N, N, N, SelImm.IMM_I), 1114d24c305SYikeZhou 1126e7c9679Shuxuan0307 SW -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.stu, LSUOpType.sw, N, N, N, N, N, N, SelImm.IMM_S), 1136e7c9679Shuxuan0307 SH -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.stu, LSUOpType.sh, N, N, N, N, N, N, SelImm.IMM_S), 1146e7c9679Shuxuan0307 SB -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.stu, LSUOpType.sb, N, N, N, N, N, N, SelImm.IMM_S), 1154d24c305SYikeZhou 1166e7c9679Shuxuan0307 LUI -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.add, Y, N, N, N, N, N, SelImm.IMM_U), 1174d24c305SYikeZhou 1186e7c9679Shuxuan0307 ADDI -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.add, Y, N, N, N, N, N, SelImm.IMM_I), 1196e7c9679Shuxuan0307 ANDI -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.and, Y, N, N, N, N, N, SelImm.IMM_I), 1206e7c9679Shuxuan0307 ORI -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.or, Y, N, N, N, N, N, SelImm.IMM_I), 1216e7c9679Shuxuan0307 XORI -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.xor, Y, N, N, N, N, N, SelImm.IMM_I), 1226e7c9679Shuxuan0307 SLTI -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.slt, Y, N, N, N, N, N, SelImm.IMM_I), 1236e7c9679Shuxuan0307 SLTIU -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.sltu, Y, N, N, N, N, N, SelImm.IMM_I), 1244d24c305SYikeZhou 1256e7c9679Shuxuan0307 SLL -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.sll, Y, N, N, N, N, N, SelImm.X), 1266e7c9679Shuxuan0307 ADD -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.add, Y, N, N, N, N, N, SelImm.X), 1276e7c9679Shuxuan0307 SUB -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.sub, Y, N, N, N, N, N, SelImm.X), 1286e7c9679Shuxuan0307 SLT -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.slt, Y, N, N, N, N, N, SelImm.X), 1296e7c9679Shuxuan0307 SLTU -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.sltu, Y, N, N, N, N, N, SelImm.X), 1306e7c9679Shuxuan0307 AND -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.and, Y, N, N, N, N, N, SelImm.X), 1316e7c9679Shuxuan0307 OR -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.or, Y, N, N, N, N, N, SelImm.X), 1326e7c9679Shuxuan0307 XOR -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.xor, Y, N, N, N, N, N, SelImm.X), 1336e7c9679Shuxuan0307 SRA -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.sra, Y, N, N, N, N, N, SelImm.X), 1346e7c9679Shuxuan0307 SRL -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.srl, Y, N, N, N, N, N, SelImm.X), 1354d24c305SYikeZhou 1366e7c9679Shuxuan0307 MUL -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.mul, MDUOpType.mul, Y, N, N, N, N, N, SelImm.X), 1376e7c9679Shuxuan0307 MULH -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.mul, MDUOpType.mulh, Y, N, N, N, N, N, SelImm.X), 1386e7c9679Shuxuan0307 MULHU -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.mul, MDUOpType.mulhu, Y, N, N, N, N, N, SelImm.X), 1396e7c9679Shuxuan0307 MULHSU -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.mul, MDUOpType.mulhsu, Y, N, N, N, N, N, SelImm.X), 1406e7c9679Shuxuan0307 MULW -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.mul, MDUOpType.mulw, Y, N, N, N, N, N, SelImm.X), 1414d24c305SYikeZhou 1426e7c9679Shuxuan0307 DIV -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.div, MDUOpType.div, Y, N, N, N, N, N, SelImm.X), 1436e7c9679Shuxuan0307 DIVU -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.div, MDUOpType.divu, Y, N, N, N, N, N, SelImm.X), 1446e7c9679Shuxuan0307 REM -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.div, MDUOpType.rem, Y, N, N, N, N, N, SelImm.X), 1456e7c9679Shuxuan0307 REMU -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.div, MDUOpType.remu, Y, N, N, N, N, N, SelImm.X), 1466e7c9679Shuxuan0307 DIVW -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.div, MDUOpType.divw, Y, N, N, N, N, N, SelImm.X), 1476e7c9679Shuxuan0307 DIVUW -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.div, MDUOpType.divuw, Y, N, N, N, N, N, SelImm.X), 1486e7c9679Shuxuan0307 REMW -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.div, MDUOpType.remw, Y, N, N, N, N, N, SelImm.X), 1496e7c9679Shuxuan0307 REMUW -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.div, MDUOpType.remuw, Y, N, N, N, N, N, SelImm.X), 1504d24c305SYikeZhou 1516e7c9679Shuxuan0307 AUIPC -> List(SrcType.pc , SrcType.imm, SrcType.X, FuType.jmp, JumpOpType.auipc, Y, N, N, N, N, N, SelImm.IMM_U), 1526e7c9679Shuxuan0307 JAL -> List(SrcType.pc , SrcType.imm, SrcType.X, FuType.jmp, JumpOpType.jal, Y, N, N, N, N, N, SelImm.IMM_UJ), 1536e7c9679Shuxuan0307 JALR -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.jmp, JumpOpType.jalr, Y, N, N, N, N, N, SelImm.IMM_I), 1546e7c9679Shuxuan0307 BEQ -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.beq, N, N, N, N, N, N, SelImm.IMM_SB), 1556e7c9679Shuxuan0307 BNE -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.bne, N, N, N, N, N, N, SelImm.IMM_SB), 1566e7c9679Shuxuan0307 BGE -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.bge, N, N, N, N, N, N, SelImm.IMM_SB), 1576e7c9679Shuxuan0307 BGEU -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.bgeu, N, N, N, N, N, N, SelImm.IMM_SB), 1586e7c9679Shuxuan0307 BLT -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.blt, N, N, N, N, N, N, SelImm.IMM_SB), 1596e7c9679Shuxuan0307 BLTU -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.bltu, N, N, N, N, N, N, SelImm.IMM_SB), 1604d24c305SYikeZhou 1614d24c305SYikeZhou // I-type, the immediate12 holds the CSR register. 1626e7c9679Shuxuan0307 CSRRW -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.csr, CSROpType.wrt, Y, N, N, Y, Y, N, SelImm.IMM_I), 1636e7c9679Shuxuan0307 CSRRS -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.csr, CSROpType.set, Y, N, N, Y, Y, N, SelImm.IMM_I), 1646e7c9679Shuxuan0307 CSRRC -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.csr, CSROpType.clr, Y, N, N, Y, Y, N, SelImm.IMM_I), 1654d24c305SYikeZhou 1666e7c9679Shuxuan0307 CSRRWI -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.csr, CSROpType.wrti, Y, N, N, Y, Y, N, SelImm.IMM_Z), 1676e7c9679Shuxuan0307 CSRRSI -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.csr, CSROpType.seti, Y, N, N, Y, Y, N, SelImm.IMM_Z), 1686e7c9679Shuxuan0307 CSRRCI -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.csr, CSROpType.clri, Y, N, N, Y, Y, N, SelImm.IMM_Z), 1694d24c305SYikeZhou 1706e7c9679Shuxuan0307 SFENCE_VMA->List(SrcType.reg, SrcType.reg, SrcType.X, FuType.fence, FenceOpType.sfence, N, N, N, Y, Y, Y, SelImm.X), 1716e7c9679Shuxuan0307 EBREAK -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.csr, CSROpType.jmp, Y, N, N, Y, Y, N, SelImm.IMM_I), 1726e7c9679Shuxuan0307 ECALL -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.csr, CSROpType.jmp, Y, N, N, Y, Y, N, SelImm.IMM_I), 1736e7c9679Shuxuan0307 SRET -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.csr, CSROpType.jmp, Y, N, N, Y, Y, N, SelImm.IMM_I), 1746e7c9679Shuxuan0307 MRET -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.csr, CSROpType.jmp, Y, N, N, Y, Y, N, SelImm.IMM_I), 1756e7c9679Shuxuan0307 DRET -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.csr, CSROpType.jmp, Y, N, N, Y, Y, N, SelImm.IMM_I), 1764d24c305SYikeZhou 1776e7c9679Shuxuan0307 WFI -> List(SrcType.pc, SrcType.imm, SrcType.X, FuType.csr, CSROpType.wfi, Y, N, N, Y, Y, N, SelImm.X), 1784d24c305SYikeZhou 1796e7c9679Shuxuan0307 FENCE_I -> List(SrcType.pc, SrcType.imm, SrcType.X, FuType.fence, FenceOpType.fencei, N, N, N, Y, Y, Y, SelImm.X), 1806e7c9679Shuxuan0307 FENCE -> List(SrcType.pc, SrcType.imm, SrcType.X, FuType.fence, FenceOpType.fence, N, N, N, Y, Y, Y, SelImm.X), 1814d24c305SYikeZhou 1824d24c305SYikeZhou // A-type 1836e7c9679Shuxuan0307 AMOADD_W-> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.amoadd_w, Y, N, N, Y, Y, N, SelImm.X), 1846e7c9679Shuxuan0307 AMOXOR_W-> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.amoxor_w, Y, N, N, Y, Y, N, SelImm.X), 1856e7c9679Shuxuan0307 AMOSWAP_W->List(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.amoswap_w, Y, N, N, Y, Y, N, SelImm.X), 1866e7c9679Shuxuan0307 AMOAND_W-> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.amoand_w, Y, N, N, Y, Y, N, SelImm.X), 1876e7c9679Shuxuan0307 AMOOR_W -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.amoor_w, Y, N, N, Y, Y, N, SelImm.X), 1886e7c9679Shuxuan0307 AMOMIN_W-> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.amomin_w, Y, N, N, Y, Y, N, SelImm.X), 1896e7c9679Shuxuan0307 AMOMINU_W->List(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.amominu_w, Y, N, N, Y, Y, N, SelImm.X), 1906e7c9679Shuxuan0307 AMOMAX_W-> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.amomax_w, Y, N, N, Y, Y, N, SelImm.X), 1916e7c9679Shuxuan0307 AMOMAXU_W->List(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.amomaxu_w, Y, N, N, Y, Y, N, SelImm.X), 1924d24c305SYikeZhou 1936e7c9679Shuxuan0307 AMOADD_D-> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.amoadd_d, Y, N, N, Y, Y, N, SelImm.X), 1946e7c9679Shuxuan0307 AMOXOR_D-> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.amoxor_d, Y, N, N, Y, Y, N, SelImm.X), 1956e7c9679Shuxuan0307 AMOSWAP_D->List(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.amoswap_d, Y, N, N, Y, Y, N, SelImm.X), 1966e7c9679Shuxuan0307 AMOAND_D-> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.amoand_d, Y, N, N, Y, Y, N, SelImm.X), 1976e7c9679Shuxuan0307 AMOOR_D -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.amoor_d, Y, N, N, Y, Y, N, SelImm.X), 1986e7c9679Shuxuan0307 AMOMIN_D-> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.amomin_d, Y, N, N, Y, Y, N, SelImm.X), 1996e7c9679Shuxuan0307 AMOMINU_D->List(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.amominu_d, Y, N, N, Y, Y, N, SelImm.X), 2006e7c9679Shuxuan0307 AMOMAX_D-> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.amomax_d, Y, N, N, Y, Y, N, SelImm.X), 2016e7c9679Shuxuan0307 AMOMAXU_D->List(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.amomaxu_d, Y, N, N, Y, Y, N, SelImm.X), 2024d24c305SYikeZhou 2036e7c9679Shuxuan0307 LR_W -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.mou, LSUOpType.lr_w, Y, N, N, Y, Y, N, SelImm.X), 2046e7c9679Shuxuan0307 LR_D -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.mou, LSUOpType.lr_d, Y, N, N, Y, Y, N, SelImm.X), 2056e7c9679Shuxuan0307 SC_W -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.sc_w, Y, N, N, Y, Y, N, SelImm.X), 2066e7c9679Shuxuan0307 SC_D -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.sc_d, Y, N, N, Y, Y, N, SelImm.X), 207ee8ff153Szfw 2086e7c9679Shuxuan0307 ANDN -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.andn, Y, N, N, N, N, N, SelImm.X), 2096e7c9679Shuxuan0307 ORN -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.orn, Y, N, N, N, N, N, SelImm.X), 2106e7c9679Shuxuan0307 XNOR -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.xnor, Y, N, N, N, N, N, SelImm.X), 2116e7c9679Shuxuan0307 ORC_B -> List(SrcType.reg, SrcType.DC, SrcType.X, FuType.alu, ALUOpType.orcb, Y, N, N, N, N, N, SelImm.X), 212ee8ff153Szfw 2136e7c9679Shuxuan0307 MIN -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.min, Y, N, N, N, N, N, SelImm.X), 2146e7c9679Shuxuan0307 MINU -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.minu, Y, N, N, N, N, N, SelImm.X), 2156e7c9679Shuxuan0307 MAX -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.max, Y, N, N, N, N, N, SelImm.X), 2166e7c9679Shuxuan0307 MAXU -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.maxu, Y, N, N, N, N, N, SelImm.X), 217ee8ff153Szfw 2186e7c9679Shuxuan0307 SEXT_B -> List(SrcType.reg, SrcType.DC, SrcType.X, FuType.alu, ALUOpType.sextb, Y, N, N, N, N, N, SelImm.X), 2196e7c9679Shuxuan0307 PACKH -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.packh, Y, N, N, N, N, N, SelImm.X), 2206e7c9679Shuxuan0307 SEXT_H -> List(SrcType.reg, SrcType.DC, SrcType.X, FuType.alu, ALUOpType.sexth, Y, N, N, N, N, N, SelImm.X), 2216e7c9679Shuxuan0307 PACKW -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.packw, Y, N, N, N, N, N, SelImm.X), 2226e7c9679Shuxuan0307 BREV8 -> List(SrcType.reg, SrcType.DC, SrcType.X, FuType.alu, ALUOpType.revb, Y, N, N, N, N, N, SelImm.X), 2236e7c9679Shuxuan0307 REV8 -> List(SrcType.reg, SrcType.DC, SrcType.X, FuType.alu, ALUOpType.rev8, Y, N, N, N, N, N, SelImm.X), 2246e7c9679Shuxuan0307 PACK -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.pack, Y, N, N, N, N, N, SelImm.X), 225ee8ff153Szfw 2266e7c9679Shuxuan0307 BSET -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.bset, Y, N, N, N, N, N, SelImm.X), 2276e7c9679Shuxuan0307 BSETI -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.bset, Y, N, N, N, N, N, SelImm.IMM_I), 2286e7c9679Shuxuan0307 BCLR -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.bclr, Y, N, N, N, N, N, SelImm.X), 2296e7c9679Shuxuan0307 BCLRI -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.bclr, Y, N, N, N, N, N, SelImm.IMM_I), 2306e7c9679Shuxuan0307 BINV -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.binv, Y, N, N, N, N, N, SelImm.X), 2316e7c9679Shuxuan0307 BINVI -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.binv, Y, N, N, N, N, N, SelImm.IMM_I), 2326e7c9679Shuxuan0307 BEXT -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.bext, Y, N, N, N, N, N, SelImm.X), 2336e7c9679Shuxuan0307 BEXTI -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.bext, Y, N, N, N, N, N, SelImm.IMM_I), 234ee8ff153Szfw 2356e7c9679Shuxuan0307 ROR -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.ror, Y, N, N, N, N, N, SelImm.X), 2366e7c9679Shuxuan0307 RORI -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.ror, Y, N, N, N, N, N, SelImm.IMM_I), 2376e7c9679Shuxuan0307 ROL -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.rol, Y, N, N, N, N, N, SelImm.X), 238ee8ff153Szfw 2396e7c9679Shuxuan0307 SH1ADD -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.sh1add, Y, N, N, N, N, N, SelImm.X), 2406e7c9679Shuxuan0307 SH2ADD -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.sh2add, Y, N, N, N, N, N, SelImm.X), 2416e7c9679Shuxuan0307 SH3ADD -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.sh3add, Y, N, N, N, N, N, SelImm.X), 2426e7c9679Shuxuan0307 SH1ADD_UW -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.sh1adduw, Y, N, N, N, N, N, SelImm.X), 2436e7c9679Shuxuan0307 SH2ADD_UW -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.sh2adduw, Y, N, N, N, N, N, SelImm.X), 2446e7c9679Shuxuan0307 SH3ADD_UW -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.sh3adduw, Y, N, N, N, N, N, SelImm.X), 2456e7c9679Shuxuan0307 ADD_UW -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.adduw, Y, N, N, N, N, N, SelImm.X), 2466e7c9679Shuxuan0307 SLLI_UW -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.slliuw, Y, N, N, N, N, N, SelImm.IMM_I) 2474d24c305SYikeZhou ) 248be25371aSYikeZhou} 249be25371aSYikeZhou 250be25371aSYikeZhou/** 251be25371aSYikeZhou * FP Decode constants 252be25371aSYikeZhou */ 253be25371aSYikeZhouobject FDecode extends DecodeConstants{ 2544d24c305SYikeZhou val table: Array[(BitPat, List[BitPat])] = Array( 2554d24c305SYikeZhou 2566e7c9679Shuxuan0307 FLW -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.ldu, LSUOpType.lw, N, Y, N, N, N, N, SelImm.IMM_I), 2576e7c9679Shuxuan0307 FLD -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.ldu, LSUOpType.ld, N, Y, N, N, N, N, SelImm.IMM_I), 2586e7c9679Shuxuan0307 FSW -> List(SrcType.reg, SrcType.fp, SrcType.X, FuType.stu, LSUOpType.sw, N, N, N, N, N, N, SelImm.IMM_S), 2596e7c9679Shuxuan0307 FSD -> List(SrcType.reg, SrcType.fp, SrcType.X, FuType.stu, LSUOpType.sd, N, N, N, N, N, N, SelImm.IMM_S), 2604d24c305SYikeZhou 2616e7c9679Shuxuan0307 FCLASS_S-> List(SrcType.fp , SrcType.imm, SrcType.X, FuType.fmisc, FuOpType.X, Y, N, N, N, N, N, SelImm.X), 2626e7c9679Shuxuan0307 FCLASS_D-> List(SrcType.fp , SrcType.imm, SrcType.X, FuType.fmisc, FuOpType.X, Y, N, N, N, N, N, SelImm.X), 2634d24c305SYikeZhou 2646e7c9679Shuxuan0307 FMV_D_X -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.i2f, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 2656e7c9679Shuxuan0307 FMV_X_D -> List(SrcType.fp , SrcType.imm, SrcType.X, FuType.fmisc, FuOpType.X, Y, N, N, N, N, N, SelImm.X), 2666e7c9679Shuxuan0307 FMV_X_W -> List(SrcType.fp , SrcType.imm, SrcType.X, FuType.fmisc, FuOpType.X, Y, N, N, N, N, N, SelImm.X), 2676e7c9679Shuxuan0307 FMV_W_X -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.i2f, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 268c2a8ae00SYikeZhou 2696e7c9679Shuxuan0307 FSGNJ_S -> List(SrcType.fp, SrcType.fp, SrcType.X, FuType.fmisc, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 2706e7c9679Shuxuan0307 FSGNJ_D -> List(SrcType.fp, SrcType.fp, SrcType.X, FuType.fmisc, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 2716e7c9679Shuxuan0307 FSGNJX_S-> List(SrcType.fp, SrcType.fp, SrcType.X, FuType.fmisc, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 2726e7c9679Shuxuan0307 FSGNJX_D-> List(SrcType.fp, SrcType.fp, SrcType.X, FuType.fmisc, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 2736e7c9679Shuxuan0307 FSGNJN_S-> List(SrcType.fp, SrcType.fp, SrcType.X, FuType.fmisc, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 2746e7c9679Shuxuan0307 FSGNJN_D-> List(SrcType.fp, SrcType.fp, SrcType.X, FuType.fmisc, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 2754d24c305SYikeZhou 2764d24c305SYikeZhou // FP to FP 2776e7c9679Shuxuan0307 FCVT_S_D-> List(SrcType.fp, SrcType.imm, SrcType.X, FuType.fmisc, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 2786e7c9679Shuxuan0307 FCVT_D_S-> List(SrcType.fp, SrcType.imm, SrcType.X, FuType.fmisc, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 2794d24c305SYikeZhou 2804d24c305SYikeZhou // Int to FP 2816e7c9679Shuxuan0307 FCVT_S_W-> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.i2f, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 2826e7c9679Shuxuan0307 FCVT_S_WU->List(SrcType.reg, SrcType.imm, SrcType.X, FuType.i2f, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 2836e7c9679Shuxuan0307 FCVT_S_L-> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.i2f, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 2846e7c9679Shuxuan0307 FCVT_S_LU->List(SrcType.reg, SrcType.imm, SrcType.X, FuType.i2f, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 2854d24c305SYikeZhou 2866e7c9679Shuxuan0307 FCVT_D_W-> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.i2f, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 2876e7c9679Shuxuan0307 FCVT_D_WU->List(SrcType.reg, SrcType.imm, SrcType.X, FuType.i2f, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 2886e7c9679Shuxuan0307 FCVT_D_L-> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.i2f, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 2896e7c9679Shuxuan0307 FCVT_D_LU->List(SrcType.reg, SrcType.imm, SrcType.X, FuType.i2f, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 2904d24c305SYikeZhou 2914d24c305SYikeZhou // FP to Int 2926e7c9679Shuxuan0307 FCVT_W_S-> List(SrcType.fp , SrcType.imm, SrcType.X, FuType.fmisc, FuOpType.X, Y, N, N, N, N, N, SelImm.X), 2936e7c9679Shuxuan0307 FCVT_WU_S->List(SrcType.fp , SrcType.imm, SrcType.X, FuType.fmisc, FuOpType.X, Y, N, N, N, N, N, SelImm.X), 2946e7c9679Shuxuan0307 FCVT_L_S-> List(SrcType.fp , SrcType.imm, SrcType.X, FuType.fmisc, FuOpType.X, Y, N, N, N, N, N, SelImm.X), 2956e7c9679Shuxuan0307 FCVT_LU_S->List(SrcType.fp , SrcType.imm, SrcType.X, FuType.fmisc, FuOpType.X, Y, N, N, N, N, N, SelImm.X), 2964d24c305SYikeZhou 2976e7c9679Shuxuan0307 FCVT_W_D-> List(SrcType.fp , SrcType.imm, SrcType.X, FuType.fmisc, FuOpType.X, Y, N, N, N, N, N, SelImm.X), 2986e7c9679Shuxuan0307 FCVT_WU_D->List(SrcType.fp , SrcType.imm, SrcType.X, FuType.fmisc, FuOpType.X, Y, N, N, N, N, N, SelImm.X), 2996e7c9679Shuxuan0307 FCVT_L_D-> List(SrcType.fp , SrcType.imm, SrcType.X, FuType.fmisc, FuOpType.X, Y, N, N, N, N, N, SelImm.X), 3006e7c9679Shuxuan0307 FCVT_LU_D->List(SrcType.fp , SrcType.imm, SrcType.X, FuType.fmisc, FuOpType.X, Y, N, N, N, N, N, SelImm.X), 3014d24c305SYikeZhou 3024d24c305SYikeZhou // "fp_single" is used for wb_data formatting (and debugging) 3036e7c9679Shuxuan0307 FEQ_S ->List(SrcType.fp , SrcType.fp, SrcType.X, FuType.fmisc, FuOpType.X, Y, N, N, N, N, N, SelImm.X), 3046e7c9679Shuxuan0307 FLT_S ->List(SrcType.fp , SrcType.fp, SrcType.X, FuType.fmisc, FuOpType.X, Y, N, N, N, N, N, SelImm.X), 3056e7c9679Shuxuan0307 FLE_S ->List(SrcType.fp , SrcType.fp, SrcType.X, FuType.fmisc, FuOpType.X, Y, N, N, N, N, N, SelImm.X), 3064d24c305SYikeZhou 3076e7c9679Shuxuan0307 FEQ_D ->List(SrcType.fp , SrcType.fp, SrcType.X, FuType.fmisc, FuOpType.X, Y, N, N, N, N, N, SelImm.X), 3086e7c9679Shuxuan0307 FLT_D ->List(SrcType.fp , SrcType.fp, SrcType.X, FuType.fmisc, FuOpType.X, Y, N, N, N, N, N, SelImm.X), 3096e7c9679Shuxuan0307 FLE_D ->List(SrcType.fp , SrcType.fp, SrcType.X, FuType.fmisc, FuOpType.X, Y, N, N, N, N, N, SelImm.X), 3104d24c305SYikeZhou 3116e7c9679Shuxuan0307 FMIN_S ->List(SrcType.fp, SrcType.fp, SrcType.X, FuType.fmisc, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 3126e7c9679Shuxuan0307 FMAX_S ->List(SrcType.fp, SrcType.fp, SrcType.X, FuType.fmisc, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 3136e7c9679Shuxuan0307 FMIN_D ->List(SrcType.fp, SrcType.fp, SrcType.X, FuType.fmisc, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 3146e7c9679Shuxuan0307 FMAX_D ->List(SrcType.fp, SrcType.fp, SrcType.X, FuType.fmisc, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 3154d24c305SYikeZhou 3166e7c9679Shuxuan0307 FADD_S ->List(SrcType.fp, SrcType.fp, SrcType.DC, FuType.fmac, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 3176e7c9679Shuxuan0307 FSUB_S ->List(SrcType.fp, SrcType.fp, SrcType.DC, FuType.fmac, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 3186e7c9679Shuxuan0307 FMUL_S ->List(SrcType.fp, SrcType.fp, SrcType.DC, FuType.fmac, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 3196e7c9679Shuxuan0307 FADD_D ->List(SrcType.fp, SrcType.fp, SrcType.DC, FuType.fmac, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 3206e7c9679Shuxuan0307 FSUB_D ->List(SrcType.fp, SrcType.fp, SrcType.DC, FuType.fmac, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 3216e7c9679Shuxuan0307 FMUL_D ->List(SrcType.fp, SrcType.fp, SrcType.DC, FuType.fmac, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 3224d24c305SYikeZhou 3236e7c9679Shuxuan0307 FMADD_S ->List(SrcType.fp, SrcType.fp, SrcType.fp, FuType.fmac, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 3246e7c9679Shuxuan0307 FMSUB_S ->List(SrcType.fp, SrcType.fp, SrcType.fp, FuType.fmac, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 3256e7c9679Shuxuan0307 FNMADD_S ->List(SrcType.fp, SrcType.fp, SrcType.fp, FuType.fmac, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 3266e7c9679Shuxuan0307 FNMSUB_S ->List(SrcType.fp, SrcType.fp, SrcType.fp, FuType.fmac, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 3276e7c9679Shuxuan0307 FMADD_D ->List(SrcType.fp, SrcType.fp, SrcType.fp, FuType.fmac, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 3286e7c9679Shuxuan0307 FMSUB_D ->List(SrcType.fp, SrcType.fp, SrcType.fp, FuType.fmac, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 3296e7c9679Shuxuan0307 FNMADD_D ->List(SrcType.fp, SrcType.fp, SrcType.fp, FuType.fmac, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 3306e7c9679Shuxuan0307 FNMSUB_D ->List(SrcType.fp, SrcType.fp, SrcType.fp, FuType.fmac, FuOpType.X, N, Y, N, N, N, N, SelImm.X) 3314d24c305SYikeZhou ) 332be25371aSYikeZhou} 333be25371aSYikeZhou 334be25371aSYikeZhou/** 335ee8ff153Szfw * Bit Manipulation Decode 336ee8ff153Szfw */ 337ee8ff153Szfwobject BDecode extends DecodeConstants{ 338ee8ff153Szfw val table: Array[(BitPat, List[BitPat])] = Array( 339ee8ff153Szfw // Basic bit manipulation 3406e7c9679Shuxuan0307 CLZ -> List(SrcType.reg, SrcType.DC, SrcType.X, FuType.bku, BKUOpType.clz, Y, N, N, N, N, N, SelImm.X), 3416e7c9679Shuxuan0307 CTZ -> List(SrcType.reg, SrcType.DC, SrcType.X, FuType.bku, BKUOpType.ctz, Y, N, N, N, N, N, SelImm.X), 3426e7c9679Shuxuan0307 CPOP -> List(SrcType.reg, SrcType.DC, SrcType.X, FuType.bku, BKUOpType.cpop, Y, N, N, N, N, N, SelImm.X), 3436e7c9679Shuxuan0307 XPERM8 -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.bku, BKUOpType.xpermb, Y, N, N, N, N, N, SelImm.X), 3446e7c9679Shuxuan0307 XPERM4 -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.bku, BKUOpType.xpermn, Y, N, N, N, N, N, SelImm.X), 34507596dc6Szfw 3466e7c9679Shuxuan0307 CLZW -> List(SrcType.reg, SrcType.DC, SrcType.X, FuType.bku, BKUOpType.clzw, Y, N, N, N, N, N, SelImm.X), 3476e7c9679Shuxuan0307 CTZW -> List(SrcType.reg, SrcType.DC, SrcType.X, FuType.bku, BKUOpType.ctzw, Y, N, N, N, N, N, SelImm.X), 3486e7c9679Shuxuan0307 CPOPW -> List(SrcType.reg, SrcType.DC, SrcType.X, FuType.bku, BKUOpType.cpopw, Y, N, N, N, N, N, SelImm.X), 349ee8ff153Szfw 3506e7c9679Shuxuan0307 CLMUL -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.bku, BKUOpType.clmul, Y, N, N, N, N, N, SelImm.X), 3516e7c9679Shuxuan0307 CLMULH -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.bku, BKUOpType.clmulh, Y, N, N, N, N, N, SelImm.X), 3526e7c9679Shuxuan0307 CLMULR -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.bku, BKUOpType.clmulr, Y, N, N, N, N, N, SelImm.X), 3533feeca58Szfw 3546e7c9679Shuxuan0307 AES64ES -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.bku, BKUOpType.aes64es, Y, N, N, N, N, N, SelImm.X), 3556e7c9679Shuxuan0307 AES64ESM -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.bku, BKUOpType.aes64esm, Y, N, N, N, N, N, SelImm.X), 3566e7c9679Shuxuan0307 AES64DS -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.bku, BKUOpType.aes64ds, Y, N, N, N, N, N, SelImm.X), 3576e7c9679Shuxuan0307 AES64DSM -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.bku, BKUOpType.aes64dsm, Y, N, N, N, N, N, SelImm.X), 3586e7c9679Shuxuan0307 AES64IM -> List(SrcType.reg, SrcType.DC, SrcType.X, FuType.bku, BKUOpType.aes64im, Y, N, N, N, N, N, SelImm.X), 3596e7c9679Shuxuan0307 AES64KS1I -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.bku, BKUOpType.aes64ks1i, Y, N, N, N, N, N, SelImm.IMM_I), 3606e7c9679Shuxuan0307 AES64KS2 -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.bku, BKUOpType.aes64ks2, Y, N, N, N, N, N, SelImm.X), 3616e7c9679Shuxuan0307 SHA256SUM0 -> List(SrcType.reg, SrcType.DC, SrcType.X, FuType.bku, BKUOpType.sha256sum0, Y, N, N, N, N, N, SelImm.X), 3626e7c9679Shuxuan0307 SHA256SUM1 -> List(SrcType.reg, SrcType.DC, SrcType.X, FuType.bku, BKUOpType.sha256sum1, Y, N, N, N, N, N, SelImm.X), 3636e7c9679Shuxuan0307 SHA256SIG0 -> List(SrcType.reg, SrcType.DC, SrcType.X, FuType.bku, BKUOpType.sha256sig0, Y, N, N, N, N, N, SelImm.X), 3646e7c9679Shuxuan0307 SHA256SIG1 -> List(SrcType.reg, SrcType.DC, SrcType.X, FuType.bku, BKUOpType.sha256sig1, Y, N, N, N, N, N, SelImm.X), 3656e7c9679Shuxuan0307 SHA512SUM0 -> List(SrcType.reg, SrcType.DC, SrcType.X, FuType.bku, BKUOpType.sha512sum0, Y, N, N, N, N, N, SelImm.X), 3666e7c9679Shuxuan0307 SHA512SUM1 -> List(SrcType.reg, SrcType.DC, SrcType.X, FuType.bku, BKUOpType.sha512sum1, Y, N, N, N, N, N, SelImm.X), 3676e7c9679Shuxuan0307 SHA512SIG0 -> List(SrcType.reg, SrcType.DC, SrcType.X, FuType.bku, BKUOpType.sha512sig0, Y, N, N, N, N, N, SelImm.X), 3686e7c9679Shuxuan0307 SHA512SIG1 -> List(SrcType.reg, SrcType.DC, SrcType.X, FuType.bku, BKUOpType.sha512sig1, Y, N, N, N, N, N, SelImm.X), 3696e7c9679Shuxuan0307 SM3P0 -> List(SrcType.reg, SrcType.DC, SrcType.X, FuType.bku, BKUOpType.sm3p0, Y, N, N, N, N, N, SelImm.X), 3706e7c9679Shuxuan0307 SM3P1 -> List(SrcType.reg, SrcType.DC, SrcType.X, FuType.bku, BKUOpType.sm3p1, Y, N, N, N, N, N, SelImm.X), 3716e7c9679Shuxuan0307 SM4KS0 -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.bku, BKUOpType.sm4ks0, Y, N, N, N, N, N, SelImm.X), 3726e7c9679Shuxuan0307 SM4KS1 -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.bku, BKUOpType.sm4ks1, Y, N, N, N, N, N, SelImm.X), 3736e7c9679Shuxuan0307 SM4KS2 -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.bku, BKUOpType.sm4ks2, Y, N, N, N, N, N, SelImm.X), 3746e7c9679Shuxuan0307 SM4KS3 -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.bku, BKUOpType.sm4ks3, Y, N, N, N, N, N, SelImm.X), 3756e7c9679Shuxuan0307 SM4ED0 -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.bku, BKUOpType.sm4ed0, Y, N, N, N, N, N, SelImm.X), 3766e7c9679Shuxuan0307 SM4ED1 -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.bku, BKUOpType.sm4ed1, Y, N, N, N, N, N, SelImm.X), 3776e7c9679Shuxuan0307 SM4ED2 -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.bku, BKUOpType.sm4ed2, Y, N, N, N, N, N, SelImm.X), 3786e7c9679Shuxuan0307 SM4ED3 -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.bku, BKUOpType.sm4ed3, Y, N, N, N, N, N, SelImm.X), 379ee8ff153Szfw ) 380ee8ff153Szfw} 381ee8ff153Szfw 382ee8ff153Szfw/** 383be25371aSYikeZhou * FP Divide SquareRoot Constants 384be25371aSYikeZhou */ 385be25371aSYikeZhouobject FDivSqrtDecode extends DecodeConstants { 3864d24c305SYikeZhou val table: Array[(BitPat, List[BitPat])] = Array( 3876e7c9679Shuxuan0307 FDIV_S ->List(SrcType.fp, SrcType.fp, SrcType.X, FuType.fmisc, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 3886e7c9679Shuxuan0307 FDIV_D ->List(SrcType.fp, SrcType.fp, SrcType.X, FuType.fmisc, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 3896e7c9679Shuxuan0307 FSQRT_S ->List(SrcType.fp, SrcType.imm, SrcType.X, FuType.fmisc, FuOpType.X, N, Y, N, N, N, N, SelImm.X), 3906e7c9679Shuxuan0307 FSQRT_D ->List(SrcType.fp, SrcType.imm, SrcType.X, FuType.fmisc, FuOpType.X, N, Y, N, N, N, N, SelImm.X) 3914d24c305SYikeZhou ) 392be25371aSYikeZhou} 393be25371aSYikeZhou 3944d24c305SYikeZhou/** 395af2f7849Shappy-lx * Svinval extension Constants 396af2f7849Shappy-lx */ 397af2f7849Shappy-lxobject SvinvalDecode extends DecodeConstants { 398af2f7849Shappy-lx val table: Array[(BitPat, List[BitPat])] = Array( 399af2f7849Shappy-lx /* sinval_vma is like sfence.vma , but sinval_vma can be dispatched and issued like normal instructions while sfence.vma 400af2f7849Shappy-lx * must assure it is the ONLY instrucion executing in backend. 401af2f7849Shappy-lx */ 4026e7c9679Shuxuan0307 SINVAL_VMA ->List(SrcType.reg, SrcType.reg, SrcType.X, FuType.fence, FenceOpType.sfence, N, N, N, N, N, N, SelImm.X), 403af2f7849Shappy-lx /* sfecne.w.inval is the begin instrucion of a TLB flush which set *noSpecExec* and *blockBackward* signals 404af2f7849Shappy-lx * so when it comes to dispatch , it will block all instruction after itself until all instrucions ahead of it in rob commit 405af2f7849Shappy-lx * then dispatch and issue this instrucion to flush sbuffer to dcache 406af2f7849Shappy-lx * after this instrucion commits , issue following sinval_vma instructions (out of order) to flush TLB 407af2f7849Shappy-lx */ 4086e7c9679Shuxuan0307 SFENCE_W_INVAL ->List(SrcType.DC, SrcType.DC, SrcType.X, FuType.fence, FenceOpType.nofence, N, N, N, Y, Y, N, SelImm.X), 409af2f7849Shappy-lx /* sfecne.inval.ir is the end instrucion of a TLB flush which set *noSpecExec* *blockBackward* and *flushPipe* signals 410af2f7849Shappy-lx * so when it comes to dispatch , it will wait until all sinval_vma ahead of it in rob commit 411af2f7849Shappy-lx * then dispatch and issue this instrucion 412af2f7849Shappy-lx * when it commit at the head of rob , flush the pipeline since some instrucions have been fetched to ibuffer using old TLB map 413af2f7849Shappy-lx */ 4146e7c9679Shuxuan0307 SFENCE_INVAL_IR ->List(SrcType.DC, SrcType.DC, SrcType.X, FuType.fence, FenceOpType.nofence, N, N, N, Y, Y, Y, SelImm.X) 415af2f7849Shappy-lx /* what is Svinval extension ? 416af2f7849Shappy-lx * -----> sfecne.w.inval 417af2f7849Shappy-lx * sfence.vma vpn1 -----> sinval_vma vpn1 418af2f7849Shappy-lx * sfence.vma vpn2 -----> sinval_vma vpn2 419af2f7849Shappy-lx * -----> sfecne.inval.ir 420af2f7849Shappy-lx * 421af2f7849Shappy-lx * sfence.vma should be executed in-order and it flushes the pipeline after committing 422af2f7849Shappy-lx * we can parallel sfence instrucions with this extension 423af2f7849Shappy-lx */ 424af2f7849Shappy-lx ) 425af2f7849Shappy-lx} 426af2f7849Shappy-lx/* 427ca18a0b4SWilliam Wang * CBO decode 428ca18a0b4SWilliam Wang */ 429ca18a0b4SWilliam Wangobject CBODecode extends DecodeConstants { 430ca18a0b4SWilliam Wang val table: Array[(BitPat, List[BitPat])] = Array( 4316e7c9679Shuxuan0307 CBO_ZERO -> List(SrcType.reg, SrcType.DC, SrcType.X, FuType.stu, LSUOpType.cbo_zero , N, N, N, N, N, N, SelImm.IMM_S), 4326e7c9679Shuxuan0307 CBO_CLEAN -> List(SrcType.reg, SrcType.DC, SrcType.X, FuType.stu, LSUOpType.cbo_clean, N, N, N, N, N, N, SelImm.IMM_S), 4336e7c9679Shuxuan0307 CBO_FLUSH -> List(SrcType.reg, SrcType.DC, SrcType.X, FuType.stu, LSUOpType.cbo_flush, N, N, N, N, N, N, SelImm.IMM_S), 4346e7c9679Shuxuan0307 CBO_INVAL -> List(SrcType.reg, SrcType.DC, SrcType.X, FuType.stu, LSUOpType.cbo_inval, N, N, N, N, N, N, SelImm.IMM_S) 435ca18a0b4SWilliam Wang ) 436ca18a0b4SWilliam Wang} 437ca18a0b4SWilliam Wang 438*d0de7e4aSpeixiaokun/* 439*d0de7e4aSpeixiaokun * Hypervisor decode 440*d0de7e4aSpeixiaokun */ 441*d0de7e4aSpeixiaokunobject HypervisorDecode extends DecodeConstants { 442*d0de7e4aSpeixiaokun val table: Array[(BitPat, List[BitPat])] = Array( 443*d0de7e4aSpeixiaokun HFENCE_GVMA -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.fence, FenceOpType.hfence_g, N, N, N, Y, Y, Y, SelImm.X), 444*d0de7e4aSpeixiaokun HFENCE_VVMA -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.fence, FenceOpType.hfence_v, N, N, N, Y, Y, Y, SelImm.X), 445*d0de7e4aSpeixiaokun HINVAL_GVMA -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.fence, FenceOpType.hfence_g, N, N, N, N, N, N, SelImm.X), 446*d0de7e4aSpeixiaokun HINVAL_VVMA -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.fence, FenceOpType.hfence_v, N, N, N, N, N, N, SelImm.X), 447*d0de7e4aSpeixiaokun HLV_B -> List(SrcType.reg, SrcType.X, SrcType.X, FuType.ldu, LSUOpType.hlvb, Y, N, N, N, N, N, SelImm.X), 448*d0de7e4aSpeixiaokun HLV_BU -> List(SrcType.reg, SrcType.X, SrcType.X, FuType.ldu, LSUOpType.hlvbu, Y, N, N, N, N, N, SelImm.X), 449*d0de7e4aSpeixiaokun HLV_D -> List(SrcType.reg, SrcType.X, SrcType.X, FuType.ldu, LSUOpType.hlvd, Y, N, N, N, N, N, SelImm.X), 450*d0de7e4aSpeixiaokun HLV_H -> List(SrcType.reg, SrcType.X, SrcType.X, FuType.ldu, LSUOpType.hlvh, Y, N, N, N, N, N, SelImm.X), 451*d0de7e4aSpeixiaokun HLV_HU -> List(SrcType.reg, SrcType.X, SrcType.X, FuType.ldu, LSUOpType.hlvhu, Y, N, N, N, N, N, SelImm.X), 452*d0de7e4aSpeixiaokun HLV_W -> List(SrcType.reg, SrcType.X, SrcType.X, FuType.ldu, LSUOpType.hlvw, Y, N, N, N, N, N, SelImm.X), 453*d0de7e4aSpeixiaokun HLV_WU -> List(SrcType.reg, SrcType.X, SrcType.X, FuType.ldu, LSUOpType.hlvwu, Y, N, N, N, N, N, SelImm.X), 454*d0de7e4aSpeixiaokun HLVX_HU -> List(SrcType.reg, SrcType.X, SrcType.X, FuType.ldu, LSUOpType.hlvxhu, Y, N, N, N, N, N, SelImm.X), 455*d0de7e4aSpeixiaokun HLVX_WU -> List(SrcType.reg, SrcType.X, SrcType.X, FuType.ldu, LSUOpType.hlvxwu, Y, N, N, N, N, N, SelImm.X), 456*d0de7e4aSpeixiaokun HSV_B -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.stu, LSUOpType.hsvb, N, N, N, N, N, N, SelImm.X), 457*d0de7e4aSpeixiaokun HSV_D -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.stu, LSUOpType.hsvd, N, N, N, N, N, N, SelImm.X), 458*d0de7e4aSpeixiaokun HSV_H -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.stu, LSUOpType.hsvh, N, N, N, N, N, N, SelImm.X), 459*d0de7e4aSpeixiaokun HSV_W -> List(SrcType.reg, SrcType.reg, SrcType.X, FuType.stu, LSUOpType.hsvw, N, N, N, N, N, N, SelImm.X) 460*d0de7e4aSpeixiaokun ) 461*d0de7e4aSpeixiaokun} 462*d0de7e4aSpeixiaokun 463ca18a0b4SWilliam Wang/** 4644d24c305SYikeZhou * XiangShan Trap Decode constants 4654d24c305SYikeZhou */ 4664d24c305SYikeZhouobject XSTrapDecode extends DecodeConstants { 467361e6d51SJiuyang Liu def TRAP = BitPat("b000000000000?????000000001101011") 4684d24c305SYikeZhou val table: Array[(BitPat, List[BitPat])] = Array( 4696e7c9679Shuxuan0307 TRAP -> List(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.add, Y, N, Y, Y, Y, N, SelImm.IMM_I) 4704d24c305SYikeZhou ) 4714d24c305SYikeZhou} 472be25371aSYikeZhou 473b0ae3ac4SLinJiawei//object Imm32Gen { 474b0ae3ac4SLinJiawei// def apply(sel: UInt, inst: UInt) = { 475b0ae3ac4SLinJiawei// val sign = Mux(sel === SelImm.IMM_Z, 0.S, inst(31).asSInt) 476b0ae3ac4SLinJiawei// val b30_20 = Mux(sel === SelImm.IMM_U, inst(30,20).asSInt, sign) 477b0ae3ac4SLinJiawei// val b19_12 = Mux(sel =/= SelImm.IMM_U && sel =/= SelImm.IMM_UJ, sign, inst(19,12).asSInt) 478b0ae3ac4SLinJiawei// val b11 = Mux(sel === SelImm.IMM_U || sel === SelImm.IMM_Z, 0.S, 479b0ae3ac4SLinJiawei// Mux(sel === SelImm.IMM_UJ, inst(20).asSInt, 480b0ae3ac4SLinJiawei// Mux(sel === SelImm.IMM_SB, inst(7).asSInt, sign))) 481b0ae3ac4SLinJiawei// val b10_5 = Mux(sel === SelImm.IMM_U || sel === SelImm.IMM_Z, 0.U(1.W), inst(30,25)) 482b0ae3ac4SLinJiawei// val b4_1 = Mux(sel === SelImm.IMM_U, 0.U(1.W), 483b0ae3ac4SLinJiawei// Mux(sel === SelImm.IMM_S || sel === SelImm.IMM_SB, inst(11,8), 484b0ae3ac4SLinJiawei// Mux(sel === SelImm.IMM_Z, inst(19,16), inst(24,21)))) 485b0ae3ac4SLinJiawei// val b0 = Mux(sel === SelImm.IMM_S, inst(7), 486b0ae3ac4SLinJiawei// Mux(sel === SelImm.IMM_I, inst(20), 487b0ae3ac4SLinJiawei// Mux(sel === SelImm.IMM_Z, inst(15), 0.U(1.W)))) 488b0ae3ac4SLinJiawei// 489b0ae3ac4SLinJiawei// Cat(sign, b30_20, b19_12, b11, b10_5, b4_1, b0) 490b0ae3ac4SLinJiawei// } 491b0ae3ac4SLinJiawei//} 492c2a8ae00SYikeZhou 493b0ae3ac4SLinJiaweiabstract class Imm(val len: Int) extends Bundle { 494b0ae3ac4SLinJiawei def toImm32(minBits: UInt): UInt = do_toImm32(minBits(len - 1, 0)) 495b0ae3ac4SLinJiawei def do_toImm32(minBits: UInt): UInt 496b0ae3ac4SLinJiawei def minBitsFromInstr(instr: UInt): UInt 497b0ae3ac4SLinJiawei} 498b0ae3ac4SLinJiawei 499b0ae3ac4SLinJiaweicase class Imm_I() extends Imm(12) { 500fd7603d9SYinan Xu override def do_toImm32(minBits: UInt): UInt = SignExt(minBits(len - 1, 0), 32) 501b0ae3ac4SLinJiawei 502b0ae3ac4SLinJiawei override def minBitsFromInstr(instr: UInt): UInt = 503b0ae3ac4SLinJiawei Cat(instr(31, 20)) 504b0ae3ac4SLinJiawei} 505b0ae3ac4SLinJiawei 506b0ae3ac4SLinJiaweicase class Imm_S() extends Imm(12) { 507b0ae3ac4SLinJiawei override def do_toImm32(minBits: UInt): UInt = SignExt(minBits, 32) 508b0ae3ac4SLinJiawei 509b0ae3ac4SLinJiawei override def minBitsFromInstr(instr: UInt): UInt = 510b0ae3ac4SLinJiawei Cat(instr(31, 25), instr(11, 7)) 511b0ae3ac4SLinJiawei} 512b0ae3ac4SLinJiawei 513b0ae3ac4SLinJiaweicase class Imm_B() extends Imm(12) { 514b0ae3ac4SLinJiawei override def do_toImm32(minBits: UInt): UInt = SignExt(Cat(minBits, 0.U(1.W)), 32) 515b0ae3ac4SLinJiawei 516b0ae3ac4SLinJiawei override def minBitsFromInstr(instr: UInt): UInt = 517b0ae3ac4SLinJiawei Cat(instr(31), instr(7), instr(30, 25), instr(11, 8)) 518b0ae3ac4SLinJiawei} 519b0ae3ac4SLinJiawei 520b0ae3ac4SLinJiaweicase class Imm_U() extends Imm(20){ 521fd7603d9SYinan Xu override def do_toImm32(minBits: UInt): UInt = Cat(minBits(len - 1, 0), 0.U(12.W)) 522b0ae3ac4SLinJiawei 523b0ae3ac4SLinJiawei override def minBitsFromInstr(instr: UInt): UInt = { 524b0ae3ac4SLinJiawei instr(31, 12) 525c2a8ae00SYikeZhou } 526c2a8ae00SYikeZhou} 527c2a8ae00SYikeZhou 528b0ae3ac4SLinJiaweicase class Imm_J() extends Imm(20){ 529b0ae3ac4SLinJiawei override def do_toImm32(minBits: UInt): UInt = SignExt(Cat(minBits, 0.U(1.W)), 32) 530b0ae3ac4SLinJiawei 531b0ae3ac4SLinJiawei override def minBitsFromInstr(instr: UInt): UInt = { 532b0ae3ac4SLinJiawei Cat(instr(31), instr(19, 12), instr(20), instr(30, 25), instr(24, 21)) 533b0ae3ac4SLinJiawei } 534b0ae3ac4SLinJiawei} 535b0ae3ac4SLinJiawei 536b0ae3ac4SLinJiaweicase class Imm_Z() extends Imm(12 + 5){ 537b0ae3ac4SLinJiawei override def do_toImm32(minBits: UInt): UInt = minBits 538b0ae3ac4SLinJiawei 539b0ae3ac4SLinJiawei override def minBitsFromInstr(instr: UInt): UInt = { 540b0ae3ac4SLinJiawei Cat(instr(19, 15), instr(31, 20)) 541b0ae3ac4SLinJiawei } 542b0ae3ac4SLinJiawei} 543b0ae3ac4SLinJiawei 544ee8ff153Szfwcase class Imm_B6() extends Imm(6){ 545ee8ff153Szfw override def do_toImm32(minBits: UInt): UInt = ZeroExt(minBits, 32) 546ee8ff153Szfw 547ee8ff153Szfw override def minBitsFromInstr(instr: UInt): UInt = { 548ee8ff153Szfw instr(25, 20) 549ee8ff153Szfw } 550ee8ff153Szfw} 551ee8ff153Szfw 552b0ae3ac4SLinJiaweiobject ImmUnion { 553b0ae3ac4SLinJiawei val I = Imm_I() 554b0ae3ac4SLinJiawei val S = Imm_S() 555b0ae3ac4SLinJiawei val B = Imm_B() 556b0ae3ac4SLinJiawei val U = Imm_U() 557b0ae3ac4SLinJiawei val J = Imm_J() 558b0ae3ac4SLinJiawei val Z = Imm_Z() 559ee8ff153Szfw val B6 = Imm_B6() 560ee8ff153Szfw val imms = Seq(I, S, B, U, J, Z, B6) 561b0ae3ac4SLinJiawei val maxLen = imms.maxBy(_.len).len 562b0ae3ac4SLinJiawei val immSelMap = Seq( 563b0ae3ac4SLinJiawei SelImm.IMM_I, 564b0ae3ac4SLinJiawei SelImm.IMM_S, 565b0ae3ac4SLinJiawei SelImm.IMM_SB, 566b0ae3ac4SLinJiawei SelImm.IMM_U, 567b0ae3ac4SLinJiawei SelImm.IMM_UJ, 568ee8ff153Szfw SelImm.IMM_Z, 569ee8ff153Szfw SelImm.IMM_B6 570b0ae3ac4SLinJiawei ).zip(imms) 571b0ae3ac4SLinJiawei println(s"ImmUnion max len: $maxLen") 572b0ae3ac4SLinJiawei} 573b0ae3ac4SLinJiawei 574fd7603d9SYinan Xucase class Imm_LUI_LOAD() { 575fd7603d9SYinan Xu def immFromLuiLoad(lui_imm: UInt, load_imm: UInt): UInt = { 576fd7603d9SYinan Xu val loadImm = load_imm(Imm_I().len - 1, 0) 577fd7603d9SYinan Xu Cat(lui_imm(Imm_U().len - loadImm.getWidth - 1, 0), loadImm) 578fd7603d9SYinan Xu } 579fd7603d9SYinan Xu def getLuiImm(uop: MicroOp): UInt = { 580fd7603d9SYinan Xu val loadImmLen = Imm_I().len 581fd7603d9SYinan Xu val imm_u = Cat(uop.psrc(1), uop.psrc(0), uop.ctrl.imm(ImmUnion.maxLen - 1, loadImmLen)) 582fd7603d9SYinan Xu Imm_U().do_toImm32(imm_u) 583fd7603d9SYinan Xu } 584fd7603d9SYinan Xu} 585b0ae3ac4SLinJiawei 586be25371aSYikeZhou/** 587be25371aSYikeZhou * IO bundle for the Decode unit 588be25371aSYikeZhou */ 5892225d46eSJiawei Linclass DecodeUnitIO(implicit p: Parameters) extends XSBundle { 590be25371aSYikeZhou val enq = new Bundle { val ctrl_flow = Input(new CtrlFlow) } 591be25371aSYikeZhou val deq = new Bundle { val cf_ctrl = Output(new CfCtrl) } 592af2f7849Shappy-lx val csrCtrl = Input(new CustomCSRCtrlIO) 593be25371aSYikeZhou} 594be25371aSYikeZhou 595be25371aSYikeZhou/** 596be25371aSYikeZhou * Decode unit that takes in a single CtrlFlow and generates a CfCtrl. 597be25371aSYikeZhou */ 5982225d46eSJiawei Linclass DecodeUnit(implicit p: Parameters) extends XSModule with DecodeUnitConstants { 599be25371aSYikeZhou val io = IO(new DecodeUnitIO) 600be25371aSYikeZhou 6014d24c305SYikeZhou val ctrl_flow = Wire(new CtrlFlow) // input with RVC Expanded 6024d24c305SYikeZhou val cf_ctrl = Wire(new CfCtrl) 6034d24c305SYikeZhou 604be25371aSYikeZhou ctrl_flow := io.enq.ctrl_flow 605be25371aSYikeZhou 606a19215ddSYinan Xu val decode_table = XDecode.table ++ 607a19215ddSYinan Xu FDecode.table ++ 608a19215ddSYinan Xu FDivSqrtDecode.table ++ 609a19215ddSYinan Xu X64Decode.table ++ 610a19215ddSYinan Xu XSTrapDecode.table ++ 611a19215ddSYinan Xu BDecode.table ++ 612a19215ddSYinan Xu CBODecode.table ++ 613*d0de7e4aSpeixiaokun SvinvalDecode.table ++ 614*d0de7e4aSpeixiaokun HypervisorDecode.table 615a19215ddSYinan Xu // assertion for LUI: only LUI should be assigned `selImm === SelImm.IMM_U && fuType === FuType.alu` 616a19215ddSYinan Xu val luiMatch = (t: Seq[BitPat]) => t(3).value == FuType.alu.litValue && t.reverse.head.value == SelImm.IMM_U.litValue 617a19215ddSYinan Xu val luiTable = decode_table.filter(t => luiMatch(t._2)).map(_._1).distinct 618a19215ddSYinan Xu assert(luiTable.length == 1 && luiTable.head == LUI, "Conflicts: LUI is determined by FuType and SelImm in Dispatch") 619be25371aSYikeZhou 6204d24c305SYikeZhou // output 6214d24c305SYikeZhou cf_ctrl.cf := ctrl_flow 6226e7c9679Shuxuan0307 val cs: CtrlSignals = Wire(new CtrlSignals()).decode(ctrl_flow.instr, decode_table) 623d4aca96cSlqre cs.singleStep := false.B 624c88c3a2aSYinan Xu cs.replayInst := false.B 6258744445eSMaxpicca-Li cs.debug_globalID := DontCare 626be25371aSYikeZhou 6272ce29ed6SLinJiawei val fpDecoder = Module(new FPDecoder) 6287ceedf30SLinJiawei fpDecoder.io.instr := ctrl_flow.instr 6292ce29ed6SLinJiawei cs.fpu := fpDecoder.io.fpCtrl 6301a1319cbSLinJiawei 63173c4359eSYikeZhou val isMove = BitPat("b000000000000_?????_000_?????_0010011") === ctrl_flow.instr 632c3abb8b6SYinan Xu cs.isMove := isMove && ctrl_flow.instr(RD_MSB, RD_LSB) =/= 0.U 63373c4359eSYikeZhou 634178dd38cSYikeZhou // read src1~3 location 635a19215ddSYinan Xu cs.lsrc(0) := ctrl_flow.instr(RS1_MSB, RS1_LSB) 63620e31bd1SYinan Xu cs.lsrc(1) := ctrl_flow.instr(RS2_MSB, RS2_LSB) 63720e31bd1SYinan Xu cs.lsrc(2) := ctrl_flow.instr(RS3_MSB, RS3_LSB) 638178dd38cSYikeZhou // read dest location 639c3abb8b6SYinan Xu cs.ldest := ctrl_flow.instr(RD_MSB, RD_LSB) 6404d24c305SYikeZhou 641c2a8ae00SYikeZhou // fill in exception vector 64226a692b9SYinan Xu cf_ctrl.cf.exceptionVec := io.enq.ctrl_flow.exceptionVec 643389157b6SYikeZhou cf_ctrl.cf.exceptionVec(illegalInstr) := cs.selImm === SelImm.INVALID_INSTR 6444d24c305SYikeZhou 645af2f7849Shappy-lx when (!io.csrCtrl.svinval_enable) { 646af2f7849Shappy-lx val base_ii = cs.selImm === SelImm.INVALID_INSTR 647af2f7849Shappy-lx val sinval = BitPat("b0001011_?????_?????_000_00000_1110011") === ctrl_flow.instr 648af2f7849Shappy-lx val w_inval = BitPat("b0001100_00000_00000_000_00000_1110011") === ctrl_flow.instr 649af2f7849Shappy-lx val inval_ir = BitPat("b0001100_00001_00000_000_00000_1110011") === ctrl_flow.instr 650*d0de7e4aSpeixiaokun val hinval_gvma = HINVAL_GVMA === ctrl_flow.instr 651*d0de7e4aSpeixiaokun val hinval_vvma = HINVAL_VVMA === ctrl_flow.instr 652*d0de7e4aSpeixiaokun val svinval_ii = sinval || w_inval || inval_ir || hinval_gvma || hinval_vvma 653af2f7849Shappy-lx cf_ctrl.cf.exceptionVec(illegalInstr) := base_ii || svinval_ii 654af2f7849Shappy-lx cs.flushPipe := false.B 655af2f7849Shappy-lx } 656af2f7849Shappy-lx 657*d0de7e4aSpeixiaokun when(io.csrCtrl.virtMode){ 658*d0de7e4aSpeixiaokun // vs/vu attempting to exec hyperinst will raise virtual instruction 659*d0de7e4aSpeixiaokun cf_ctrl.cf.exceptionVec(virtualInstr) := ctrl_flow.instr === HLV_B || ctrl_flow.instr === HLV_BU || 660*d0de7e4aSpeixiaokun ctrl_flow.instr === HLV_H || ctrl_flow.instr === HLV_HU || 661*d0de7e4aSpeixiaokun ctrl_flow.instr === HLVX_HU || ctrl_flow.instr === HLV_W || 662*d0de7e4aSpeixiaokun ctrl_flow.instr === HLVX_WU || ctrl_flow.instr === HLV_WU || 663*d0de7e4aSpeixiaokun ctrl_flow.instr === HLV_D || ctrl_flow.instr === HSV_B || 664*d0de7e4aSpeixiaokun ctrl_flow.instr === HSV_H || ctrl_flow.instr === HSV_W || 665*d0de7e4aSpeixiaokun ctrl_flow.instr === HSV_D || ctrl_flow.instr === HFENCE_VVMA || 666*d0de7e4aSpeixiaokun ctrl_flow.instr === HFENCE_GVMA || ctrl_flow.instr === HINVAL_GVMA || 667*d0de7e4aSpeixiaokun ctrl_flow.instr === HINVAL_VVMA 668*d0de7e4aSpeixiaokun } 669*d0de7e4aSpeixiaokun 670c2a8ae00SYikeZhou // fix frflags 671c2a8ae00SYikeZhou // fflags zero csrrs rd csr 672c2a8ae00SYikeZhou val isFrflags = BitPat("b000000000001_00000_010_?????_1110011") === ctrl_flow.instr 673c2a8ae00SYikeZhou when (cs.fuType === FuType.csr && isFrflags) { 674c2a8ae00SYikeZhou cs.blockBackward := false.B 675c2a8ae00SYikeZhou } 676c2a8ae00SYikeZhou 677b0ae3ac4SLinJiawei cs.imm := LookupTree(cs.selImm, ImmUnion.immSelMap.map( 678b0ae3ac4SLinJiawei x => { 6797ceedf30SLinJiawei val minBits = x._2.minBitsFromInstr(ctrl_flow.instr) 680b0ae3ac4SLinJiawei require(minBits.getWidth == x._2.len) 681b0ae3ac4SLinJiawei x._1 -> minBits 682b0ae3ac4SLinJiawei } 683b0ae3ac4SLinJiawei )) 684aac4464eSYinan Xu 6854d24c305SYikeZhou cf_ctrl.ctrl := cs 686c2a8ae00SYikeZhou 6874d24c305SYikeZhou io.deq.cf_ctrl := cf_ctrl 688be25371aSYikeZhou 689be25371aSYikeZhou //------------------------------------------------------------- 690be25371aSYikeZhou // Debug Info 69161a56a41SYinan Xu XSDebug("in: instr=%x pc=%x excepVec=%b crossPageIPFFix=%d\n", 6924d24c305SYikeZhou io.enq.ctrl_flow.instr, io.enq.ctrl_flow.pc, io.enq.ctrl_flow.exceptionVec.asUInt, 69361a56a41SYinan Xu io.enq.ctrl_flow.crossPageIPFFix) 69420e31bd1SYinan Xu XSDebug("out: srcType(0)=%b srcType(1)=%b srcType(2)=%b lsrc(0)=%d lsrc(1)=%d lsrc(2)=%d ldest=%d fuType=%b fuOpType=%b\n", 69520e31bd1SYinan Xu io.deq.cf_ctrl.ctrl.srcType(0), io.deq.cf_ctrl.ctrl.srcType(1), io.deq.cf_ctrl.ctrl.srcType(2), 69620e31bd1SYinan Xu io.deq.cf_ctrl.ctrl.lsrc(0), io.deq.cf_ctrl.ctrl.lsrc(1), io.deq.cf_ctrl.ctrl.lsrc(2), 6974d24c305SYikeZhou io.deq.cf_ctrl.ctrl.ldest, io.deq.cf_ctrl.ctrl.fuType, io.deq.cf_ctrl.ctrl.fuOpType) 6986e7c9679Shuxuan0307 XSDebug("out: rfWen=%d fpWen=%d isXSTrap=%d noSpecExec=%d isBlocked=%d flushPipe=%d imm=%x\n", 6994d24c305SYikeZhou io.deq.cf_ctrl.ctrl.rfWen, io.deq.cf_ctrl.ctrl.fpWen, io.deq.cf_ctrl.ctrl.isXSTrap, 7004d24c305SYikeZhou io.deq.cf_ctrl.ctrl.noSpecExec, io.deq.cf_ctrl.ctrl.blockBackward, io.deq.cf_ctrl.ctrl.flushPipe, 7016e7c9679Shuxuan0307 io.deq.cf_ctrl.ctrl.imm) 70261a56a41SYinan Xu XSDebug("out: excepVec=%b\n", io.deq.cf_ctrl.cf.exceptionVec.asUInt) 703be25371aSYikeZhou} 704