xref: /XiangShan/src/main/scala/xiangshan/backend/decode/DecodeUnit.scala (revision 239413e51a6abb40e307c0a36d40c9d229db6cf9)
1c6d43980SLemover/***************************************************************************************
2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory
4c6d43980SLemover*
5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2.
6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at:
8c6d43980SLemover*          http://license.coscl.org.cn/MulanPSL2
9c6d43980SLemover*
10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13c6d43980SLemover*
14c6d43980SLemover* See the Mulan PSL v2 for more details.
15c6d43980SLemover***************************************************************************************/
16c6d43980SLemover
17be25371aSYikeZhoupackage xiangshan.backend.decode
18be25371aSYikeZhou
192225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters
20be25371aSYikeZhouimport chisel3._
21be25371aSYikeZhouimport chisel3.util._
223b739f49SXuan Huimport freechips.rocketchip.rocket.Instructions._
236ab6918fSYinan Xuimport freechips.rocketchip.util.uintToBitPat
243c02ee8fSwakafaimport utility._
253b739f49SXuan Huimport utils._
266ab6918fSYinan Xuimport xiangshan.ExceptionNO.illegalInstr
276ab6918fSYinan Xuimport xiangshan._
28730cfbc0SXuan Huimport xiangshan.backend.fu.FuType
29730cfbc0SXuan Huimport xiangshan.backend.Bundles.{DecodedInst, DynInst, StaticInst}
305c1681d0SXuan Huimport xiangshan.backend.decode.isa.bitfield.{InstVType, XSInstBitFields}
3142475509SXuan Huimport xiangshan.backend.fu.vector.Bundles.{Category, VType}
32be25371aSYikeZhou
33be25371aSYikeZhou/**
34be25371aSYikeZhou * Abstract trait giving defaults and other relevant values to different Decode constants/
35be25371aSYikeZhou */
36be25371aSYikeZhouabstract trait DecodeConstants {
37361e6d51SJiuyang Liu  // This X should be used only in 1-bit signal. Otherwise, use BitPat("b???") to align with the width of UInt.
3857a10886SXuan Hu  def X = BitPat("b0")
394d24c305SYikeZhou  def N = BitPat("b0")
404d24c305SYikeZhou  def Y = BitPat("b1")
4157a10886SXuan Hu  def T = true
4257a10886SXuan Hu  def F = false
434d24c305SYikeZhou
44c2a8ae00SYikeZhou  def decodeDefault: List[BitPat] = // illegal instruction
4520e31bd1SYinan Xu    //   srcType(0) srcType(1) srcType(2) fuType    fuOpType    rfWen
464d24c305SYikeZhou    //   |          |          |          |         |           |  fpWen
4757a10886SXuan Hu    //   |          |          |          |         |           |  |  vecWen
4857a10886SXuan Hu    //   |          |          |          |         |           |  |  |  isXSTrap
4957a10886SXuan Hu    //   |          |          |          |         |           |  |  |  |  noSpecExec
5057a10886SXuan Hu    //   |          |          |          |         |           |  |  |  |  |  blockBackward
5157a10886SXuan Hu    //   |          |          |          |         |           |  |  |  |  |  |  flushPipe
5289cc69c1STang Haojin    //   |          |          |          |         |           |  |  |  |  |  |  |  canRobCompress
5389cc69c1STang Haojin    //   |          |          |          |         |           |  |  |  |  |  |  |  |  uopSplitType
5489cc69c1STang Haojin    //   |          |          |          |         |           |  |  |  |  |  |  |  |  |             selImm
5589cc69c1STang Haojin    List(SrcType.X, SrcType.X, SrcType.X, FuType.X, FuOpType.X, N, N, N, N, N, N, N, N, UopSplitType.X, SelImm.INVALID_INSTR) // Use SelImm to indicate invalid instr
564d24c305SYikeZhou
5757a10886SXuan Hu  val decodeArray: Array[(BitPat, XSDecodeBase)]
5857a10886SXuan Hu  final def table: Array[(BitPat, List[BitPat])] = decodeArray.map(x => (x._1, x._2.generate()))
59be25371aSYikeZhou}
60be25371aSYikeZhou
61c2a8ae00SYikeZhoutrait DecodeUnitConstants
624d24c305SYikeZhou{
634d24c305SYikeZhou  // abstract out instruction decode magic numbers
644d24c305SYikeZhou  val RD_MSB  = 11
654d24c305SYikeZhou  val RD_LSB  = 7
664d24c305SYikeZhou  val RS1_MSB = 19
674d24c305SYikeZhou  val RS1_LSB = 15
684d24c305SYikeZhou  val RS2_MSB = 24
694d24c305SYikeZhou  val RS2_LSB = 20
704d24c305SYikeZhou  val RS3_MSB = 31
714d24c305SYikeZhou  val RS3_LSB = 27
724d24c305SYikeZhou}
734d24c305SYikeZhou
74be25371aSYikeZhou/**
75be25371aSYikeZhou * Decoded control signals
764d24c305SYikeZhou * See xiangshan/package.scala, xiangshan/backend/package.scala, Bundle.scala
77be25371aSYikeZhou */
78c2a8ae00SYikeZhou
7957a10886SXuan Huabstract class XSDecodeBase {
8057a10886SXuan Hu  def X = BitPat("b?")
8157a10886SXuan Hu  def N = BitPat("b0")
8257a10886SXuan Hu  def Y = BitPat("b1")
8357a10886SXuan Hu  def T = true
8457a10886SXuan Hu  def F = false
8557a10886SXuan Hu  def generate() : List[BitPat]
8657a10886SXuan Hu}
8757a10886SXuan Hu
8857a10886SXuan Hucase class XSDecode(
8957a10886SXuan Hu  src1: BitPat, src2: BitPat, src3: BitPat,
90*239413e5SXuan Hu  fu: FuType.OHType, fuOp: BitPat, selImm: BitPat,
91e2695e90SzhanglyGit  uopSplitType: BitPat = UopSplitType.X,
9257a10886SXuan Hu  xWen: Boolean = false,
9357a10886SXuan Hu  fWen: Boolean = false,
9457a10886SXuan Hu  vWen: Boolean = false,
9557a10886SXuan Hu  mWen: Boolean = false,
9657a10886SXuan Hu  xsTrap: Boolean = false,
9757a10886SXuan Hu  noSpec: Boolean = false,
9857a10886SXuan Hu  blockBack: Boolean = false,
9957a10886SXuan Hu  flushPipe: Boolean = false,
10089cc69c1STang Haojin  canRobCompress: Boolean = false,
10157a10886SXuan Hu) extends XSDecodeBase {
10257a10886SXuan Hu  def generate() : List[BitPat] = {
10389cc69c1STang Haojin    List (src1, src2, src3, BitPat(fu.U(FuType.num.W)), fuOp, xWen.B, fWen.B, (vWen || mWen).B, xsTrap.B, noSpec.B, blockBack.B, flushPipe.B, canRobCompress.B, uopSplitType, selImm)
10457a10886SXuan Hu  }
10557a10886SXuan Hu}
10657a10886SXuan Hu
10757a10886SXuan Hucase class FDecode(
10857a10886SXuan Hu  src1: BitPat, src2: BitPat, src3: BitPat,
109*239413e5SXuan Hu  fu: FuType.OHType, fuOp: BitPat, selImm: BitPat = SelImm.X,
11017ec87f2SXuan Hu  uopSplitType: BitPat = UopSplitType.X,
11157a10886SXuan Hu  xWen: Boolean = false,
11257a10886SXuan Hu  fWen: Boolean = false,
11357a10886SXuan Hu  vWen: Boolean = false,
11457a10886SXuan Hu  mWen: Boolean = false,
11557a10886SXuan Hu  xsTrap: Boolean = false,
11657a10886SXuan Hu  noSpec: Boolean = false,
11757a10886SXuan Hu  blockBack: Boolean = false,
11857a10886SXuan Hu  flushPipe: Boolean = false,
11989cc69c1STang Haojin  canRobCompress: Boolean = false,
12057a10886SXuan Hu) extends XSDecodeBase {
12157a10886SXuan Hu  def generate() : List[BitPat] = {
12289cc69c1STang Haojin    XSDecode(src1, src2, src3, fu, fuOp, selImm, uopSplitType, xWen, fWen, vWen, mWen, xsTrap, noSpec, blockBack, flushPipe, canRobCompress).generate()
12357a10886SXuan Hu  }
12457a10886SXuan Hu}
12557a10886SXuan Hu
126be25371aSYikeZhou/**
127be25371aSYikeZhou * Decode constants for RV64
128be25371aSYikeZhou */
129be25371aSYikeZhouobject X64Decode extends DecodeConstants {
13057a10886SXuan Hu  val decodeArray: Array[(BitPat, XSDecodeBase)] = Array(
13157a10886SXuan Hu    LD      -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.ldu, LSUOpType.ld  , SelImm.IMM_I, xWen = T),
13257a10886SXuan Hu    LWU     -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.ldu, LSUOpType.lwu , SelImm.IMM_I, xWen = T),
13357a10886SXuan Hu    SD      -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.stu, LSUOpType.sd  , SelImm.IMM_S          ),
1344d24c305SYikeZhou
13589cc69c1STang Haojin    SLLI    -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.sll , SelImm.IMM_I, xWen = T, canRobCompress = T),
13689cc69c1STang Haojin    SRLI    -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.srl , SelImm.IMM_I, xWen = T, canRobCompress = T),
13789cc69c1STang Haojin    SRAI    -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.sra , SelImm.IMM_I, xWen = T, canRobCompress = T),
1384d24c305SYikeZhou
13989cc69c1STang Haojin    ADDIW   -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.addw, SelImm.IMM_I, xWen = T, canRobCompress = T),
14089cc69c1STang Haojin    SLLIW   -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.sllw, SelImm.IMM_I, xWen = T, canRobCompress = T),
14189cc69c1STang Haojin    SRAIW   -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.sraw, SelImm.IMM_I, xWen = T, canRobCompress = T),
14289cc69c1STang Haojin    SRLIW   -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.srlw, SelImm.IMM_I, xWen = T, canRobCompress = T),
1434d24c305SYikeZhou
14489cc69c1STang Haojin    ADDW    -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.addw, SelImm.X    , xWen = T, canRobCompress = T),
14589cc69c1STang Haojin    SUBW    -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.subw, SelImm.X    , xWen = T, canRobCompress = T),
14689cc69c1STang Haojin    SLLW    -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.sllw, SelImm.X    , xWen = T, canRobCompress = T),
14789cc69c1STang Haojin    SRAW    -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.sraw, SelImm.X    , xWen = T, canRobCompress = T),
14889cc69c1STang Haojin    SRLW    -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.srlw, SelImm.X    , xWen = T, canRobCompress = T),
149ee8ff153Szfw
15089cc69c1STang Haojin    RORW    -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.rorw, SelImm.X    , xWen = T, canRobCompress = T),
15189cc69c1STang Haojin    RORIW   -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.rorw, SelImm.IMM_I, xWen = T, canRobCompress = T),
15289cc69c1STang Haojin    ROLW    -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.rolw, SelImm.X    , xWen = T, canRobCompress = T),
1534d24c305SYikeZhou  )
154be25371aSYikeZhou}
155be25371aSYikeZhou
156be25371aSYikeZhou/**
157be25371aSYikeZhou * Overall Decode constants
158be25371aSYikeZhou */
159be25371aSYikeZhouobject XDecode extends DecodeConstants {
16057a10886SXuan Hu  val decodeArray: Array[(BitPat, XSDecodeBase)] = Array(
16157a10886SXuan Hu    LW      -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.ldu, LSUOpType.lw  , SelImm.IMM_I, xWen = T),
16257a10886SXuan Hu    LH      -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.ldu, LSUOpType.lh  , SelImm.IMM_I, xWen = T),
16357a10886SXuan Hu    LHU     -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.ldu, LSUOpType.lhu , SelImm.IMM_I, xWen = T),
16457a10886SXuan Hu    LB      -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.ldu, LSUOpType.lb  , SelImm.IMM_I, xWen = T),
16557a10886SXuan Hu    LBU     -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.ldu, LSUOpType.lbu , SelImm.IMM_I, xWen = T),
16657a10886SXuan Hu    SW      -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.stu, LSUOpType.sw  , SelImm.IMM_S          ),
16757a10886SXuan Hu    SH      -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.stu, LSUOpType.sh  , SelImm.IMM_S          ),
16857a10886SXuan Hu    SB      -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.stu, LSUOpType.sb  , SelImm.IMM_S          ),
16989cc69c1STang Haojin    LUI     -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.add , SelImm.IMM_U, xWen = T, canRobCompress = T),
17089cc69c1STang Haojin    ADDI    -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.add , SelImm.IMM_I, xWen = T, canRobCompress = T),
17189cc69c1STang Haojin    ANDI    -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.and , SelImm.IMM_I, xWen = T, canRobCompress = T),
17289cc69c1STang Haojin    ORI     -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.or  , SelImm.IMM_I, xWen = T, canRobCompress = T),
17389cc69c1STang Haojin    XORI    -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.xor , SelImm.IMM_I, xWen = T, canRobCompress = T),
17489cc69c1STang Haojin    SLTI    -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.slt , SelImm.IMM_I, xWen = T, canRobCompress = T),
17589cc69c1STang Haojin    SLTIU   -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.sltu, SelImm.IMM_I, xWen = T, canRobCompress = T),
17689cc69c1STang Haojin    SLL     -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.sll , SelImm.X    , xWen = T, canRobCompress = T),
17789cc69c1STang Haojin    ADD     -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.add , SelImm.X    , xWen = T, canRobCompress = T),
17889cc69c1STang Haojin    SUB     -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.sub , SelImm.X    , xWen = T, canRobCompress = T),
17989cc69c1STang Haojin    SLT     -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.slt , SelImm.X    , xWen = T, canRobCompress = T),
18089cc69c1STang Haojin    SLTU    -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.sltu, SelImm.X    , xWen = T, canRobCompress = T),
18189cc69c1STang Haojin    AND     -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.and , SelImm.X    , xWen = T, canRobCompress = T),
18289cc69c1STang Haojin    OR      -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.or  , SelImm.X    , xWen = T, canRobCompress = T),
18389cc69c1STang Haojin    XOR     -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.xor , SelImm.X    , xWen = T, canRobCompress = T),
18489cc69c1STang Haojin    SRA     -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.sra , SelImm.X    , xWen = T, canRobCompress = T),
18589cc69c1STang Haojin    SRL     -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.srl , SelImm.X    , xWen = T, canRobCompress = T),
1864d24c305SYikeZhou
18789cc69c1STang Haojin    MUL     -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.mul, MDUOpType.mul   , SelImm.X, xWen = T, canRobCompress = T),
18889cc69c1STang Haojin    MULH    -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.mul, MDUOpType.mulh  , SelImm.X, xWen = T, canRobCompress = T),
18989cc69c1STang Haojin    MULHU   -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.mul, MDUOpType.mulhu , SelImm.X, xWen = T, canRobCompress = T),
19089cc69c1STang Haojin    MULHSU  -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.mul, MDUOpType.mulhsu, SelImm.X, xWen = T, canRobCompress = T),
19189cc69c1STang Haojin    MULW    -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.mul, MDUOpType.mulw  , SelImm.X, xWen = T, canRobCompress = T),
1924d24c305SYikeZhou
19389cc69c1STang Haojin    DIV     -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.div, MDUOpType.div   , SelImm.X, xWen = T, canRobCompress = T),
19489cc69c1STang Haojin    DIVU    -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.div, MDUOpType.divu  , SelImm.X, xWen = T, canRobCompress = T),
19589cc69c1STang Haojin    REM     -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.div, MDUOpType.rem   , SelImm.X, xWen = T, canRobCompress = T),
19689cc69c1STang Haojin    REMU    -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.div, MDUOpType.remu  , SelImm.X, xWen = T, canRobCompress = T),
19789cc69c1STang Haojin    DIVW    -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.div, MDUOpType.divw  , SelImm.X, xWen = T, canRobCompress = T),
19889cc69c1STang Haojin    DIVUW   -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.div, MDUOpType.divuw , SelImm.X, xWen = T, canRobCompress = T),
19989cc69c1STang Haojin    REMW    -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.div, MDUOpType.remw  , SelImm.X, xWen = T, canRobCompress = T),
20089cc69c1STang Haojin    REMUW   -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.div, MDUOpType.remuw , SelImm.X, xWen = T, canRobCompress = T),
2014d24c305SYikeZhou
202*239413e5SXuan Hu    AUIPC   -> XSDecode(SrcType.pc , SrcType.imm, SrcType.X, FuType.
203*239413e5SXuan Hu
204*239413e5SXuan Hu      jmp, JumpOpType.auipc, SelImm.IMM_U , xWen = T),
20557a10886SXuan Hu    JAL     -> XSDecode(SrcType.pc , SrcType.imm, SrcType.X, FuType.jmp, JumpOpType.jal  , SelImm.IMM_UJ, xWen = T),
206e2695e90SzhanglyGit    JALR    -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.jmp, JumpOpType.jalr , SelImm.IMM_I , uopSplitType = UopSplitType.SCA_SIM, xWen = T),
2073b739f49SXuan Hu    BEQ     -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.brh, BRUOpType.beq   , SelImm.IMM_SB          ),
2083b739f49SXuan Hu    BNE     -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.brh, BRUOpType.bne   , SelImm.IMM_SB          ),
2093b739f49SXuan Hu    BGE     -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.brh, BRUOpType.bge   , SelImm.IMM_SB          ),
2103b739f49SXuan Hu    BGEU    -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.brh, BRUOpType.bgeu  , SelImm.IMM_SB          ),
2113b739f49SXuan Hu    BLT     -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.brh, BRUOpType.blt   , SelImm.IMM_SB          ),
2123b739f49SXuan Hu    BLTU    -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.brh, BRUOpType.bltu  , SelImm.IMM_SB          ),
2134d24c305SYikeZhou
2143b739f49SXuan Hu    // I-type, the immediate12 holds the CSR register.
21557a10886SXuan Hu    CSRRW   -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.csr, CSROpType.wrt , SelImm.IMM_I, xWen = T, noSpec = T, blockBack = T),
21657a10886SXuan Hu    CSRRS   -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.csr, CSROpType.set , SelImm.IMM_I, xWen = T, noSpec = T, blockBack = T),
21757a10886SXuan Hu    CSRRC   -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.csr, CSROpType.clr , SelImm.IMM_I, xWen = T, noSpec = T, blockBack = T),
2184d24c305SYikeZhou
21957a10886SXuan Hu    CSRRWI  -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.csr, CSROpType.wrti, SelImm.IMM_Z, xWen = T, noSpec = T, blockBack = T),
22057a10886SXuan Hu    CSRRSI  -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.csr, CSROpType.seti, SelImm.IMM_Z, xWen = T, noSpec = T, blockBack = T),
22157a10886SXuan Hu    CSRRCI  -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.csr, CSROpType.clri, SelImm.IMM_Z, xWen = T, noSpec = T, blockBack = T),
2224d24c305SYikeZhou
22357a10886SXuan Hu    EBREAK  -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.csr, CSROpType.jmp, SelImm.IMM_I, xWen = T, noSpec = T, blockBack = T),
22457a10886SXuan Hu    ECALL   -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.csr, CSROpType.jmp, SelImm.IMM_I, xWen = T, noSpec = T, blockBack = T),
22557a10886SXuan Hu    SRET    -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.csr, CSROpType.jmp, SelImm.IMM_I, xWen = T, noSpec = T, blockBack = T),
22657a10886SXuan Hu    MRET    -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.csr, CSROpType.jmp, SelImm.IMM_I, xWen = T, noSpec = T, blockBack = T),
22757a10886SXuan Hu    DRET    -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.csr, CSROpType.jmp, SelImm.IMM_I, xWen = T, noSpec = T, blockBack = T),
22857a10886SXuan Hu    WFI     -> XSDecode(SrcType.pc , SrcType.imm, SrcType.X, FuType.csr, CSROpType.wfi, SelImm.X    , xWen = T, noSpec = T, blockBack = T),
2294d24c305SYikeZhou
23057a10886SXuan Hu    SFENCE_VMA -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.fence, FenceOpType.sfence, SelImm.X, noSpec = T, blockBack = T, flushPipe = T),
23157a10886SXuan Hu    FENCE_I    -> XSDecode(SrcType.pc , SrcType.imm, SrcType.X, FuType.fence, FenceOpType.fencei, SelImm.X, noSpec = T, blockBack = T, flushPipe = T),
23257a10886SXuan Hu    FENCE      -> XSDecode(SrcType.pc , SrcType.imm, SrcType.X, FuType.fence, FenceOpType.fence , SelImm.X, noSpec = T, blockBack = T, flushPipe = T),
2334d24c305SYikeZhou
2344d24c305SYikeZhou    // A-type
23557a10886SXuan Hu    AMOADD_W  -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.amoadd_w , SelImm.X, xWen = T, noSpec = T, blockBack = T),
23657a10886SXuan Hu    AMOXOR_W  -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.amoxor_w , SelImm.X, xWen = T, noSpec = T, blockBack = T),
23757a10886SXuan Hu    AMOSWAP_W -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.amoswap_w, SelImm.X, xWen = T, noSpec = T, blockBack = T),
23857a10886SXuan Hu    AMOAND_W  -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.amoand_w , SelImm.X, xWen = T, noSpec = T, blockBack = T),
23957a10886SXuan Hu    AMOOR_W   -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.amoor_w  , SelImm.X, xWen = T, noSpec = T, blockBack = T),
24057a10886SXuan Hu    AMOMIN_W  -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.amomin_w , SelImm.X, xWen = T, noSpec = T, blockBack = T),
24157a10886SXuan Hu    AMOMINU_W -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.amominu_w, SelImm.X, xWen = T, noSpec = T, blockBack = T),
24257a10886SXuan Hu    AMOMAX_W  -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.amomax_w , SelImm.X, xWen = T, noSpec = T, blockBack = T),
24357a10886SXuan Hu    AMOMAXU_W -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.amomaxu_w, SelImm.X, xWen = T, noSpec = T, blockBack = T),
2444d24c305SYikeZhou
24557a10886SXuan Hu    AMOADD_D  -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.amoadd_d,  SelImm.X, xWen = T, noSpec = T, blockBack = T),
24657a10886SXuan Hu    AMOXOR_D  -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.amoxor_d,  SelImm.X, xWen = T, noSpec = T, blockBack = T),
24757a10886SXuan Hu    AMOSWAP_D -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.amoswap_d, SelImm.X, xWen = T, noSpec = T, blockBack = T),
24857a10886SXuan Hu    AMOAND_D  -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.amoand_d,  SelImm.X, xWen = T, noSpec = T, blockBack = T),
24957a10886SXuan Hu    AMOOR_D   -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.amoor_d,   SelImm.X, xWen = T, noSpec = T, blockBack = T),
25057a10886SXuan Hu    AMOMIN_D  -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.amomin_d,  SelImm.X, xWen = T, noSpec = T, blockBack = T),
25157a10886SXuan Hu    AMOMINU_D -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.amominu_d, SelImm.X, xWen = T, noSpec = T, blockBack = T),
25257a10886SXuan Hu    AMOMAX_D  -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.amomax_d,  SelImm.X, xWen = T, noSpec = T, blockBack = T),
25357a10886SXuan Hu    AMOMAXU_D -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.amomaxu_d, SelImm.X, xWen = T, noSpec = T, blockBack = T),
2544d24c305SYikeZhou
25557a10886SXuan Hu    LR_W    -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.mou, LSUOpType.lr_w, SelImm.X, xWen = T, noSpec = T, blockBack = T),
25657a10886SXuan Hu    LR_D    -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.mou, LSUOpType.lr_d, SelImm.X, xWen = T, noSpec = T, blockBack = T),
25757a10886SXuan Hu    SC_W    -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.sc_w, SelImm.X, xWen = T, noSpec = T, blockBack = T),
25857a10886SXuan Hu    SC_D    -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.mou, LSUOpType.sc_d, SelImm.X, xWen = T, noSpec = T, blockBack = T),
259ee8ff153Szfw
26089cc69c1STang Haojin    ANDN    -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.andn, SelImm.X, xWen = T, canRobCompress = T),
26189cc69c1STang Haojin    ORN     -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.orn , SelImm.X, xWen = T, canRobCompress = T),
26289cc69c1STang Haojin    XNOR    -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.xnor, SelImm.X, xWen = T, canRobCompress = T),
26389cc69c1STang Haojin    ORC_B   -> XSDecode(SrcType.reg, SrcType.DC,  SrcType.X, FuType.alu, ALUOpType.orcb, SelImm.X, xWen = T, canRobCompress = T),
264ee8ff153Szfw
26589cc69c1STang Haojin    MIN     -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.min , SelImm.X, xWen = T, canRobCompress = T),
26689cc69c1STang Haojin    MINU    -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.minu, SelImm.X, xWen = T, canRobCompress = T),
26789cc69c1STang Haojin    MAX     -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.max , SelImm.X, xWen = T, canRobCompress = T),
26889cc69c1STang Haojin    MAXU    -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.maxu, SelImm.X, xWen = T, canRobCompress = T),
269ee8ff153Szfw
27089cc69c1STang Haojin    SEXT_B  -> XSDecode(SrcType.reg, SrcType.DC,  SrcType.X, FuType.alu, ALUOpType.sextb, SelImm.X, xWen = T, canRobCompress = T),
27189cc69c1STang Haojin    PACKH   -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.packh, SelImm.X, xWen = T, canRobCompress = T),
27289cc69c1STang Haojin    SEXT_H  -> XSDecode(SrcType.reg, SrcType.DC,  SrcType.X, FuType.alu, ALUOpType.sexth, SelImm.X, xWen = T, canRobCompress = T),
27389cc69c1STang Haojin    PACKW   -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.packw, SelImm.X, xWen = T, canRobCompress = T),
27489cc69c1STang Haojin    BREV8   -> XSDecode(SrcType.reg, SrcType.DC,  SrcType.X, FuType.alu, ALUOpType.revb , SelImm.X, xWen = T, canRobCompress = T),
27589cc69c1STang Haojin    REV8    -> XSDecode(SrcType.reg, SrcType.DC,  SrcType.X, FuType.alu, ALUOpType.rev8 , SelImm.X, xWen = T, canRobCompress = T),
27689cc69c1STang Haojin    PACK    -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.pack , SelImm.X, xWen = T, canRobCompress = T),
277ee8ff153Szfw
27889cc69c1STang Haojin    BSET    -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.bset, SelImm.X    , xWen = T, canRobCompress = T),
27989cc69c1STang Haojin    BSETI   -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.bset, SelImm.IMM_I, xWen = T, canRobCompress = T),
28089cc69c1STang Haojin    BCLR    -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.bclr, SelImm.X    , xWen = T, canRobCompress = T),
28189cc69c1STang Haojin    BCLRI   -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.bclr, SelImm.IMM_I, xWen = T, canRobCompress = T),
28289cc69c1STang Haojin    BINV    -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.binv, SelImm.X    , xWen = T, canRobCompress = T),
28389cc69c1STang Haojin    BINVI   -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.binv, SelImm.IMM_I, xWen = T, canRobCompress = T),
28489cc69c1STang Haojin    BEXT    -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.bext, SelImm.X    , xWen = T, canRobCompress = T),
28589cc69c1STang Haojin    BEXTI   -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.bext, SelImm.IMM_I, xWen = T, canRobCompress = T),
286ee8ff153Szfw
28789cc69c1STang Haojin    ROR     -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.ror, SelImm.X     , xWen = T, canRobCompress = T),
28889cc69c1STang Haojin    RORI    -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.ror, SelImm.IMM_I , xWen = T, canRobCompress = T),
28989cc69c1STang Haojin    ROL     -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.rol, SelImm.X     , xWen = T, canRobCompress = T),
290ee8ff153Szfw
29189cc69c1STang Haojin    SH1ADD    -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.sh1add  , SelImm.X    , xWen = T, canRobCompress = T),
29289cc69c1STang Haojin    SH2ADD    -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.sh2add  , SelImm.X    , xWen = T, canRobCompress = T),
29389cc69c1STang Haojin    SH3ADD    -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.sh3add  , SelImm.X    , xWen = T, canRobCompress = T),
29489cc69c1STang Haojin    SH1ADD_UW -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.sh1adduw, SelImm.X    , xWen = T, canRobCompress = T),
29589cc69c1STang Haojin    SH2ADD_UW -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.sh2adduw, SelImm.X    , xWen = T, canRobCompress = T),
29689cc69c1STang Haojin    SH3ADD_UW -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.sh3adduw, SelImm.X    , xWen = T, canRobCompress = T),
29789cc69c1STang Haojin    ADD_UW    -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.alu, ALUOpType.adduw   , SelImm.X    , xWen = T, canRobCompress = T),
29889cc69c1STang Haojin    SLLI_UW   -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.slliuw  , SelImm.IMM_I, xWen = T, canRobCompress = T),
2994d24c305SYikeZhou  )
300be25371aSYikeZhou}
301be25371aSYikeZhou
302be25371aSYikeZhou/**
303be25371aSYikeZhou * FP Decode constants
304be25371aSYikeZhou */
30557a10886SXuan Huobject FpDecode extends DecodeConstants{
30657a10886SXuan Hu  val decodeArray: Array[(BitPat, XSDecodeBase)] = Array(
30757a10886SXuan Hu    FLW     -> FDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.ldu, LSUOpType.lw, selImm = SelImm.IMM_I, fWen = T),
30857a10886SXuan Hu    FLD     -> FDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.ldu, LSUOpType.ld, selImm = SelImm.IMM_I, fWen = T),
30957a10886SXuan Hu    FSW     -> FDecode(SrcType.reg, SrcType.fp,  SrcType.X, FuType.stu, LSUOpType.sw, selImm = SelImm.IMM_S          ),
31057a10886SXuan Hu    FSD     -> FDecode(SrcType.reg, SrcType.fp,  SrcType.X, FuType.stu, LSUOpType.sd, selImm = SelImm.IMM_S          ),
3114d24c305SYikeZhou
31289cc69c1STang Haojin    FMV_X_D -> FDecode(SrcType.fp , SrcType.imm, SrcType.X, FuType.fmisc, FuOpType.X, xWen = T, canRobCompress = T),
31389cc69c1STang Haojin    FMV_X_W -> FDecode(SrcType.fp , SrcType.imm, SrcType.X, FuType.fmisc, FuOpType.X, xWen = T, canRobCompress = T),
3144d24c305SYikeZhou
31589cc69c1STang Haojin    FMV_D_X -> FDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.i2f,   FuOpType.X, fWen = T, canRobCompress = T),
31689cc69c1STang Haojin    FMV_W_X -> FDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.i2f,   FuOpType.X, fWen = T, canRobCompress = T),
317c2a8ae00SYikeZhou
3184d24c305SYikeZhou    // FP to FP
31989cc69c1STang Haojin    FCVT_S_D -> FDecode(SrcType.fp, SrcType.imm, SrcType.X, FuType.fmisc, FuOpType.X, fWen = T, canRobCompress = T),
32089cc69c1STang Haojin    FCVT_D_S -> FDecode(SrcType.fp, SrcType.imm, SrcType.X, FuType.fmisc, FuOpType.X, fWen = T, canRobCompress = T),
3214d24c305SYikeZhou
3224d24c305SYikeZhou    // Int to FP
32389cc69c1STang Haojin    FCVT_S_W  -> FDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.i2f, FuOpType.X, fWen = T, canRobCompress = T),
32489cc69c1STang Haojin    FCVT_S_WU -> FDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.i2f, FuOpType.X, fWen = T, canRobCompress = T),
32589cc69c1STang Haojin    FCVT_S_L  -> FDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.i2f, FuOpType.X, fWen = T, canRobCompress = T),
32689cc69c1STang Haojin    FCVT_S_LU -> FDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.i2f, FuOpType.X, fWen = T, canRobCompress = T),
3274d24c305SYikeZhou
32889cc69c1STang Haojin    FCVT_D_W  -> FDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.i2f, FuOpType.X, fWen = T, canRobCompress = T),
32989cc69c1STang Haojin    FCVT_D_WU -> FDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.i2f, FuOpType.X, fWen = T, canRobCompress = T),
33089cc69c1STang Haojin    FCVT_D_L  -> FDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.i2f, FuOpType.X, fWen = T, canRobCompress = T),
33189cc69c1STang Haojin    FCVT_D_LU -> FDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.i2f, FuOpType.X, fWen = T, canRobCompress = T),
3324d24c305SYikeZhou
3334d24c305SYikeZhou    // FP to Int
33489cc69c1STang Haojin    FCVT_W_S  -> FDecode(SrcType.fp , SrcType.imm, SrcType.X, FuType.fmisc, FuOpType.X, xWen = T, canRobCompress = T),
33589cc69c1STang Haojin    FCVT_WU_S -> FDecode(SrcType.fp , SrcType.imm, SrcType.X, FuType.fmisc, FuOpType.X, xWen = T, canRobCompress = T),
33689cc69c1STang Haojin    FCVT_L_S  -> FDecode(SrcType.fp , SrcType.imm, SrcType.X, FuType.fmisc, FuOpType.X, xWen = T, canRobCompress = T),
33789cc69c1STang Haojin    FCVT_LU_S -> FDecode(SrcType.fp , SrcType.imm, SrcType.X, FuType.fmisc, FuOpType.X, xWen = T, canRobCompress = T),
3384d24c305SYikeZhou
33989cc69c1STang Haojin    FCVT_W_D  -> FDecode(SrcType.fp , SrcType.imm, SrcType.X, FuType.fmisc, FuOpType.X, xWen = T, canRobCompress = T),
34089cc69c1STang Haojin    FCVT_WU_D -> FDecode(SrcType.fp , SrcType.imm, SrcType.X, FuType.fmisc, FuOpType.X, xWen = T, canRobCompress = T),
34189cc69c1STang Haojin    FCVT_L_D  -> FDecode(SrcType.fp , SrcType.imm, SrcType.X, FuType.fmisc, FuOpType.X, xWen = T, canRobCompress = T),
34289cc69c1STang Haojin    FCVT_LU_D -> FDecode(SrcType.fp , SrcType.imm, SrcType.X, FuType.fmisc, FuOpType.X, xWen = T, canRobCompress = T),
3434d24c305SYikeZhou
3444d24c305SYikeZhou  )
345be25371aSYikeZhou}
346be25371aSYikeZhou
347be25371aSYikeZhou/**
348ee8ff153Szfw  * Bit Manipulation Decode
349ee8ff153Szfw  */
350ee8ff153Szfwobject BDecode extends DecodeConstants{
35157a10886SXuan Hu  val decodeArray: Array[(BitPat, XSDecodeBase)] = Array(
352ee8ff153Szfw    // Basic bit manipulation
35389cc69c1STang Haojin    CLZ     -> XSDecode(SrcType.reg, SrcType.DC,  SrcType.X, FuType.bku, BKUOpType.clz,    SelImm.X, xWen = T, canRobCompress = T),
35489cc69c1STang Haojin    CTZ     -> XSDecode(SrcType.reg, SrcType.DC,  SrcType.X, FuType.bku, BKUOpType.ctz,    SelImm.X, xWen = T, canRobCompress = T),
35589cc69c1STang Haojin    CPOP    -> XSDecode(SrcType.reg, SrcType.DC,  SrcType.X, FuType.bku, BKUOpType.cpop,   SelImm.X, xWen = T, canRobCompress = T),
35689cc69c1STang Haojin    XPERM8  -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.bku, BKUOpType.xpermb, SelImm.X, xWen = T, canRobCompress = T),
35789cc69c1STang Haojin    XPERM4  -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.bku, BKUOpType.xpermn, SelImm.X, xWen = T, canRobCompress = T),
35807596dc6Szfw
35989cc69c1STang Haojin    CLZW    -> XSDecode(SrcType.reg, SrcType.DC, SrcType.X, FuType.bku, BKUOpType.clzw,    SelImm.X, xWen = T, canRobCompress = T),
36089cc69c1STang Haojin    CTZW    -> XSDecode(SrcType.reg, SrcType.DC, SrcType.X, FuType.bku, BKUOpType.ctzw,    SelImm.X, xWen = T, canRobCompress = T),
36189cc69c1STang Haojin    CPOPW   -> XSDecode(SrcType.reg, SrcType.DC, SrcType.X, FuType.bku, BKUOpType.cpopw,   SelImm.X, xWen = T, canRobCompress = T),
362ee8ff153Szfw
36389cc69c1STang Haojin    CLMUL   -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.bku, BKUOpType.clmul,  SelImm.X, xWen = T, canRobCompress = T),
36489cc69c1STang Haojin    CLMULH  -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.bku, BKUOpType.clmulh, SelImm.X, xWen = T, canRobCompress = T),
36589cc69c1STang Haojin    CLMULR  -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.bku, BKUOpType.clmulr, SelImm.X, xWen = T, canRobCompress = T),
3663feeca58Szfw
36789cc69c1STang Haojin    AES64ES    -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.bku, BKUOpType.aes64es,    SelImm.X    , xWen = T, canRobCompress = T),
36889cc69c1STang Haojin    AES64ESM   -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.bku, BKUOpType.aes64esm,   SelImm.X    , xWen = T, canRobCompress = T),
36989cc69c1STang Haojin    AES64DS    -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.bku, BKUOpType.aes64ds,    SelImm.X    , xWen = T, canRobCompress = T),
37089cc69c1STang Haojin    AES64DSM   -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.bku, BKUOpType.aes64dsm,   SelImm.X    , xWen = T, canRobCompress = T),
37189cc69c1STang Haojin    AES64IM    -> XSDecode(SrcType.reg, SrcType.DC,  SrcType.X, FuType.bku, BKUOpType.aes64im,    SelImm.X    , xWen = T, canRobCompress = T),
37289cc69c1STang Haojin    AES64KS1I  -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.bku, BKUOpType.aes64ks1i,  SelImm.IMM_I, xWen = T, canRobCompress = T),
37389cc69c1STang Haojin    AES64KS2   -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.bku, BKUOpType.aes64ks2,   SelImm.X    , xWen = T, canRobCompress = T),
37489cc69c1STang Haojin    SHA256SUM0 -> XSDecode(SrcType.reg, SrcType.DC,  SrcType.X, FuType.bku, BKUOpType.sha256sum0, SelImm.X    , xWen = T, canRobCompress = T),
37589cc69c1STang Haojin    SHA256SUM1 -> XSDecode(SrcType.reg, SrcType.DC,  SrcType.X, FuType.bku, BKUOpType.sha256sum1, SelImm.X    , xWen = T, canRobCompress = T),
37689cc69c1STang Haojin    SHA256SIG0 -> XSDecode(SrcType.reg, SrcType.DC,  SrcType.X, FuType.bku, BKUOpType.sha256sig0, SelImm.X    , xWen = T, canRobCompress = T),
37789cc69c1STang Haojin    SHA256SIG1 -> XSDecode(SrcType.reg, SrcType.DC,  SrcType.X, FuType.bku, BKUOpType.sha256sig1, SelImm.X    , xWen = T, canRobCompress = T),
37889cc69c1STang Haojin    SHA512SUM0 -> XSDecode(SrcType.reg, SrcType.DC,  SrcType.X, FuType.bku, BKUOpType.sha512sum0, SelImm.X    , xWen = T, canRobCompress = T),
37989cc69c1STang Haojin    SHA512SUM1 -> XSDecode(SrcType.reg, SrcType.DC,  SrcType.X, FuType.bku, BKUOpType.sha512sum1, SelImm.X    , xWen = T, canRobCompress = T),
38089cc69c1STang Haojin    SHA512SIG0 -> XSDecode(SrcType.reg, SrcType.DC,  SrcType.X, FuType.bku, BKUOpType.sha512sig0, SelImm.X    , xWen = T, canRobCompress = T),
38189cc69c1STang Haojin    SHA512SIG1 -> XSDecode(SrcType.reg, SrcType.DC,  SrcType.X, FuType.bku, BKUOpType.sha512sig1, SelImm.X    , xWen = T, canRobCompress = T),
38289cc69c1STang Haojin    SM3P0      -> XSDecode(SrcType.reg, SrcType.DC,  SrcType.X, FuType.bku, BKUOpType.sm3p0,      SelImm.X    , xWen = T, canRobCompress = T),
38389cc69c1STang Haojin    SM3P1      -> XSDecode(SrcType.reg, SrcType.DC,  SrcType.X, FuType.bku, BKUOpType.sm3p1,      SelImm.X    , xWen = T, canRobCompress = T),
38489cc69c1STang Haojin    SM4KS0     -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.bku, BKUOpType.sm4ks0,     SelImm.X    , xWen = T, canRobCompress = T),
38589cc69c1STang Haojin    SM4KS1     -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.bku, BKUOpType.sm4ks1,     SelImm.X    , xWen = T, canRobCompress = T),
38689cc69c1STang Haojin    SM4KS2     -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.bku, BKUOpType.sm4ks2,     SelImm.X    , xWen = T, canRobCompress = T),
38789cc69c1STang Haojin    SM4KS3     -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.bku, BKUOpType.sm4ks3,     SelImm.X    , xWen = T, canRobCompress = T),
38889cc69c1STang Haojin    SM4ED0     -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.bku, BKUOpType.sm4ed0,     SelImm.X    , xWen = T, canRobCompress = T),
38989cc69c1STang Haojin    SM4ED1     -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.bku, BKUOpType.sm4ed1,     SelImm.X    , xWen = T, canRobCompress = T),
39089cc69c1STang Haojin    SM4ED2     -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.bku, BKUOpType.sm4ed2,     SelImm.X    , xWen = T, canRobCompress = T),
39189cc69c1STang Haojin    SM4ED3     -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.bku, BKUOpType.sm4ed3,     SelImm.X    , xWen = T, canRobCompress = T),
392ee8ff153Szfw  )
393ee8ff153Szfw}
394ee8ff153Szfw
395ee8ff153Szfw/**
396be25371aSYikeZhou * FP Divide SquareRoot Constants
397be25371aSYikeZhou */
398be25371aSYikeZhouobject FDivSqrtDecode extends DecodeConstants {
39957a10886SXuan Hu  val decodeArray: Array[(BitPat, XSDecodeBase)] = Array(
40089cc69c1STang Haojin    FDIV_S  -> FDecode(SrcType.fp,  SrcType.fp,  SrcType.X, FuType.fDivSqrt, FuOpType.X, fWen = T, canRobCompress = T),
40189cc69c1STang Haojin    FDIV_D  -> FDecode(SrcType.fp,  SrcType.fp,  SrcType.X, FuType.fDivSqrt, FuOpType.X, fWen = T, canRobCompress = T),
40289cc69c1STang Haojin    FSQRT_S -> FDecode(SrcType.fp,  SrcType.imm, SrcType.X, FuType.fDivSqrt, FuOpType.X, fWen = T, canRobCompress = T),
40389cc69c1STang Haojin    FSQRT_D -> FDecode(SrcType.fp,  SrcType.imm, SrcType.X, FuType.fDivSqrt, FuOpType.X, fWen = T, canRobCompress = T),
4044d24c305SYikeZhou  )
405be25371aSYikeZhou}
406be25371aSYikeZhou
4074d24c305SYikeZhou/**
408af2f7849Shappy-lx * Svinval extension Constants
409af2f7849Shappy-lx */
410af2f7849Shappy-lxobject SvinvalDecode extends DecodeConstants {
41157a10886SXuan Hu  val decodeArray: Array[(BitPat, XSDecodeBase)] = Array(
412af2f7849Shappy-lx    /* sinval_vma is like sfence.vma , but sinval_vma can be dispatched and issued like normal instructions while sfence.vma
413af2f7849Shappy-lx     * must assure it is the ONLY instrucion executing in backend.
414af2f7849Shappy-lx     */
41557a10886SXuan Hu    SINVAL_VMA        -> XSDecode(SrcType.reg, SrcType.reg, SrcType.X, FuType.fence, FenceOpType.sfence, SelImm.X),
416af2f7849Shappy-lx    /* sfecne.w.inval is the begin instrucion of a TLB flush which set *noSpecExec* and *blockBackward* signals
417af2f7849Shappy-lx     * so when it comes to dispatch , it will block all instruction after itself until all instrucions ahead of it in rob commit
418af2f7849Shappy-lx     * then dispatch and issue this instrucion to flush sbuffer to dcache
419af2f7849Shappy-lx     * after this instrucion commits , issue following sinval_vma instructions (out of order) to flush TLB
420af2f7849Shappy-lx     */
42157a10886SXuan Hu    SFENCE_W_INVAL    -> XSDecode(SrcType.DC, SrcType.DC, SrcType.X, FuType.fence, FenceOpType.nofence, SelImm.X, noSpec = T, blockBack = T),
422af2f7849Shappy-lx    /* sfecne.inval.ir is the end instrucion of a TLB flush which set *noSpecExec* *blockBackward* and *flushPipe* signals
423af2f7849Shappy-lx     * so when it comes to dispatch , it will wait until all sinval_vma ahead of it in rob commit
424af2f7849Shappy-lx     * then dispatch and issue this instrucion
425af2f7849Shappy-lx     * when it commit at the head of rob , flush the pipeline since some instrucions have been fetched to ibuffer using old TLB map
426af2f7849Shappy-lx     */
42757a10886SXuan Hu    SFENCE_INVAL_IR   -> XSDecode(SrcType.DC, SrcType.DC, SrcType.X, FuType.fence, FenceOpType.nofence, SelImm.X, noSpec = T, blockBack = T, flushPipe = T)
428af2f7849Shappy-lx    /* what is Svinval extension ?
429af2f7849Shappy-lx     *                       ----->             sfecne.w.inval
430af2f7849Shappy-lx     * sfence.vma   vpn1     ----->             sinval_vma   vpn1
431af2f7849Shappy-lx     * sfence.vma   vpn2     ----->             sinval_vma   vpn2
432af2f7849Shappy-lx     *                       ----->             sfecne.inval.ir
433af2f7849Shappy-lx     *
434af2f7849Shappy-lx     * sfence.vma should be executed in-order and it flushes the pipeline after committing
435af2f7849Shappy-lx     * we can parallel sfence instrucions with this extension
436af2f7849Shappy-lx     */
437af2f7849Shappy-lx  )
438af2f7849Shappy-lx}
43957a10886SXuan Hu
440af2f7849Shappy-lx/*
441ca18a0b4SWilliam Wang * CBO decode
442ca18a0b4SWilliam Wang */
443ca18a0b4SWilliam Wangobject CBODecode extends DecodeConstants {
44457a10886SXuan Hu  val decodeArray: Array[(BitPat, XSDecodeBase)] = Array(
44557a10886SXuan Hu    CBO_ZERO  -> XSDecode(SrcType.reg, SrcType.DC, SrcType.X, FuType.stu, LSUOpType.cbo_zero , SelImm.IMM_S),
44657a10886SXuan Hu    CBO_CLEAN -> XSDecode(SrcType.reg, SrcType.DC, SrcType.X, FuType.stu, LSUOpType.cbo_clean, SelImm.IMM_S),
44757a10886SXuan Hu    CBO_FLUSH -> XSDecode(SrcType.reg, SrcType.DC, SrcType.X, FuType.stu, LSUOpType.cbo_flush, SelImm.IMM_S),
44857a10886SXuan Hu    CBO_INVAL -> XSDecode(SrcType.reg, SrcType.DC, SrcType.X, FuType.stu, LSUOpType.cbo_inval, SelImm.IMM_S)
449ca18a0b4SWilliam Wang  )
450ca18a0b4SWilliam Wang}
451ca18a0b4SWilliam Wang
452ca18a0b4SWilliam Wang/**
4534d24c305SYikeZhou * XiangShan Trap Decode constants
4544d24c305SYikeZhou */
4554d24c305SYikeZhouobject XSTrapDecode extends DecodeConstants {
456361e6d51SJiuyang Liu  def TRAP = BitPat("b000000000000?????000000001101011")
45757a10886SXuan Hu  val decodeArray: Array[(BitPat, XSDecodeBase)] = Array(
45857a10886SXuan Hu    TRAP    -> XSDecode(SrcType.reg, SrcType.imm, SrcType.X, FuType.alu, ALUOpType.add, SelImm.IMM_I, xWen = T, xsTrap = T, noSpec = T, blockBack = T)
4594d24c305SYikeZhou  )
4604d24c305SYikeZhou}
461be25371aSYikeZhou
462b0ae3ac4SLinJiaweiabstract class Imm(val len: Int) extends Bundle {
463b0ae3ac4SLinJiawei  def toImm32(minBits: UInt): UInt = do_toImm32(minBits(len - 1, 0))
464b0ae3ac4SLinJiawei  def do_toImm32(minBits: UInt): UInt
465b0ae3ac4SLinJiawei  def minBitsFromInstr(instr: UInt): UInt
466b0ae3ac4SLinJiawei}
467b0ae3ac4SLinJiawei
468b0ae3ac4SLinJiaweicase class Imm_I() extends Imm(12) {
469fd7603d9SYinan Xu  override def do_toImm32(minBits: UInt): UInt = SignExt(minBits(len - 1, 0), 32)
470b0ae3ac4SLinJiawei
471b0ae3ac4SLinJiawei  override def minBitsFromInstr(instr: UInt): UInt =
472b0ae3ac4SLinJiawei    Cat(instr(31, 20))
473b0ae3ac4SLinJiawei}
474b0ae3ac4SLinJiawei
475b0ae3ac4SLinJiaweicase class Imm_S() extends Imm(12) {
476b0ae3ac4SLinJiawei  override def do_toImm32(minBits: UInt): UInt = SignExt(minBits, 32)
477b0ae3ac4SLinJiawei
478b0ae3ac4SLinJiawei  override def minBitsFromInstr(instr: UInt): UInt =
479b0ae3ac4SLinJiawei    Cat(instr(31, 25), instr(11, 7))
480b0ae3ac4SLinJiawei}
481b0ae3ac4SLinJiawei
482b0ae3ac4SLinJiaweicase class Imm_B() extends Imm(12) {
483b0ae3ac4SLinJiawei  override def do_toImm32(minBits: UInt): UInt = SignExt(Cat(minBits, 0.U(1.W)), 32)
484b0ae3ac4SLinJiawei
485b0ae3ac4SLinJiawei  override def minBitsFromInstr(instr: UInt): UInt =
486b0ae3ac4SLinJiawei    Cat(instr(31), instr(7), instr(30, 25), instr(11, 8))
487b0ae3ac4SLinJiawei}
488b0ae3ac4SLinJiawei
489b0ae3ac4SLinJiaweicase class Imm_U() extends Imm(20){
490fd7603d9SYinan Xu  override def do_toImm32(minBits: UInt): UInt = Cat(minBits(len - 1, 0), 0.U(12.W))
491b0ae3ac4SLinJiawei
492b0ae3ac4SLinJiawei  override def minBitsFromInstr(instr: UInt): UInt = {
493b0ae3ac4SLinJiawei    instr(31, 12)
494c2a8ae00SYikeZhou  }
495c2a8ae00SYikeZhou}
496c2a8ae00SYikeZhou
497b0ae3ac4SLinJiaweicase class Imm_J() extends Imm(20){
498b0ae3ac4SLinJiawei  override def do_toImm32(minBits: UInt): UInt = SignExt(Cat(minBits, 0.U(1.W)), 32)
499b0ae3ac4SLinJiawei
500b0ae3ac4SLinJiawei  override def minBitsFromInstr(instr: UInt): UInt = {
501b0ae3ac4SLinJiawei    Cat(instr(31), instr(19, 12), instr(20), instr(30, 25), instr(24, 21))
502b0ae3ac4SLinJiawei  }
503b0ae3ac4SLinJiawei}
504b0ae3ac4SLinJiawei
505b0ae3ac4SLinJiaweicase class Imm_Z() extends Imm(12 + 5){
506b0ae3ac4SLinJiawei  override def do_toImm32(minBits: UInt): UInt = minBits
507b0ae3ac4SLinJiawei
508b0ae3ac4SLinJiawei  override def minBitsFromInstr(instr: UInt): UInt = {
509b0ae3ac4SLinJiawei    Cat(instr(19, 15), instr(31, 20))
510b0ae3ac4SLinJiawei  }
511b0ae3ac4SLinJiawei}
512b0ae3ac4SLinJiawei
513ee8ff153Szfwcase class Imm_B6() extends Imm(6){
514ee8ff153Szfw  override def do_toImm32(minBits: UInt): UInt = ZeroExt(minBits, 32)
515ee8ff153Szfw
516ee8ff153Szfw  override def minBitsFromInstr(instr: UInt): UInt = {
517ee8ff153Szfw    instr(25, 20)
518ee8ff153Szfw  }
519ee8ff153Szfw}
520ee8ff153Szfw
52158c35d23Shuxuan0307case class Imm_OPIVIS() extends Imm(5){
52258c35d23Shuxuan0307  override def do_toImm32(minBits: UInt): UInt = SignExt(minBits, 32)
52358c35d23Shuxuan0307
52458c35d23Shuxuan0307  override def minBitsFromInstr(instr: UInt): UInt = {
52558c35d23Shuxuan0307    instr(19, 15)
52658c35d23Shuxuan0307  }
52758c35d23Shuxuan0307}
528b52d4755SXuan Hu
52958c35d23Shuxuan0307case class Imm_OPIVIU() extends Imm(5){
53058c35d23Shuxuan0307  override def do_toImm32(minBits: UInt): UInt = ZeroExt(minBits, 32)
53158c35d23Shuxuan0307
53258c35d23Shuxuan0307  override def minBitsFromInstr(instr: UInt): UInt = {
53358c35d23Shuxuan0307    instr(19, 15)
53458c35d23Shuxuan0307  }
53558c35d23Shuxuan0307}
536b52d4755SXuan Hu
5374aa9ed34Sfdycase class Imm_VSETVLI() extends Imm(11){
5384aa9ed34Sfdy  override def do_toImm32(minBits: UInt): UInt = SignExt(minBits, 32)
5394aa9ed34Sfdy
5404aa9ed34Sfdy  override def minBitsFromInstr(instr: UInt): UInt = {
5414aa9ed34Sfdy    instr(30, 20)
5424aa9ed34Sfdy  }
5434aa9ed34Sfdy}
544b52d4755SXuan Hu
545b52d4755SXuan Hucase class Imm_VSETIVLI() extends Imm(13){
5464aa9ed34Sfdy  override def do_toImm32(minBits: UInt): UInt = SignExt(minBits, 32)
5474aa9ed34Sfdy
5484aa9ed34Sfdy  override def minBitsFromInstr(instr: UInt): UInt = {
5495c1681d0SXuan Hu    val rvInst: XSInstBitFields = instr.asTypeOf(new XSInstBitFields)
550b52d4755SXuan Hu    val uimm5 = rvInst.UIMM_VSETIVLI
551b52d4755SXuan Hu    val vtype8 = rvInst.ZIMM_VTYPE
552b52d4755SXuan Hu    Cat(uimm5, vtype8)
553b52d4755SXuan Hu  }
554b52d4755SXuan Hu  /**
555b52d4755SXuan Hu    * get VType from extended imm
556b52d4755SXuan Hu    * @param extedImm
557b52d4755SXuan Hu    * @return VType
558b52d4755SXuan Hu    */
559b52d4755SXuan Hu  def getVType(extedImm: UInt): InstVType = {
560b52d4755SXuan Hu    val vtype = Wire(new InstVType)
561b52d4755SXuan Hu    vtype := extedImm(7, 0).asTypeOf(new InstVType)
562b52d4755SXuan Hu    vtype
563b52d4755SXuan Hu  }
564b52d4755SXuan Hu
565b52d4755SXuan Hu  def getAvl(extedImm: UInt): UInt = {
566b52d4755SXuan Hu    extedImm(12, 8)
5674aa9ed34Sfdy  }
5684aa9ed34Sfdy}
569fe528fd6Ssinsanction
570fe528fd6Ssinsanctioncase class Imm_LUI32() extends Imm(32){
571fe528fd6Ssinsanction  override def do_toImm32(minBits: UInt): UInt = minBits(31, 0)
572fe528fd6Ssinsanction
573fe528fd6Ssinsanction  override def minBitsFromInstr(instr: UInt): UInt = {
574fe528fd6Ssinsanction    instr(31, 0)
575fe528fd6Ssinsanction  }
576fe528fd6Ssinsanction}
577fe528fd6Ssinsanction
578b0ae3ac4SLinJiaweiobject ImmUnion {
579b0ae3ac4SLinJiawei  val I = Imm_I()
580b0ae3ac4SLinJiawei  val S = Imm_S()
581b0ae3ac4SLinJiawei  val B = Imm_B()
582b0ae3ac4SLinJiawei  val U = Imm_U()
583b0ae3ac4SLinJiawei  val J = Imm_J()
584b0ae3ac4SLinJiawei  val Z = Imm_Z()
585ee8ff153Szfw  val B6 = Imm_B6()
58658c35d23Shuxuan0307  val OPIVIS = Imm_OPIVIS()
58758c35d23Shuxuan0307  val OPIVIU = Imm_OPIVIU()
5884aa9ed34Sfdy  val VSETVLI = Imm_VSETVLI()
5894aa9ed34Sfdy  val VSETIVLI = Imm_VSETIVLI()
590fe528fd6Ssinsanction  val LUI32 = Imm_LUI32()
5914aa9ed34Sfdy
592765e58c6Ssinsanction  val imms = Seq(I, S, B, U, J, Z, B6, OPIVIS, OPIVIU, VSETVLI, VSETIVLI)
593b0ae3ac4SLinJiawei  val maxLen = imms.maxBy(_.len).len
594b0ae3ac4SLinJiawei  val immSelMap = Seq(
595b0ae3ac4SLinJiawei    SelImm.IMM_I,
596b0ae3ac4SLinJiawei    SelImm.IMM_S,
597b0ae3ac4SLinJiawei    SelImm.IMM_SB,
598b0ae3ac4SLinJiawei    SelImm.IMM_U,
599b0ae3ac4SLinJiawei    SelImm.IMM_UJ,
600ee8ff153Szfw    SelImm.IMM_Z,
60158c35d23Shuxuan0307    SelImm.IMM_B6,
60258c35d23Shuxuan0307    SelImm.IMM_OPIVIS,
6034aa9ed34Sfdy    SelImm.IMM_OPIVIU,
6044aa9ed34Sfdy    SelImm.IMM_VSETVLI,
605fe528fd6Ssinsanction    SelImm.IMM_VSETIVLI,
606b0ae3ac4SLinJiawei  ).zip(imms)
607b0ae3ac4SLinJiawei  println(s"ImmUnion max len: $maxLen")
608b0ae3ac4SLinJiawei}
609b0ae3ac4SLinJiawei
610fd7603d9SYinan Xucase class Imm_LUI_LOAD() {
611fd7603d9SYinan Xu  def immFromLuiLoad(lui_imm: UInt, load_imm: UInt): UInt = {
612fd7603d9SYinan Xu    val loadImm = load_imm(Imm_I().len - 1, 0)
613765e58c6Ssinsanction    Cat(lui_imm(ImmUnion.maxLen - loadImm.getWidth - 1, 0), loadImm)
614fd7603d9SYinan Xu  }
6153b739f49SXuan Hu  def getLuiImm(uop: DynInst): UInt = {
616fd7603d9SYinan Xu    val loadImmLen = Imm_I().len
6173b739f49SXuan Hu    val imm_u = Cat(uop.psrc(1), uop.psrc(0), uop.imm(ImmUnion.maxLen - 1, loadImmLen))
618f4dcd9fcSsinsanction    Cat(Imm_U().toImm32(imm_u)(31, loadImmLen), uop.imm(loadImmLen - 1, 0))
619fd7603d9SYinan Xu  }
620fd7603d9SYinan Xu}
621b0ae3ac4SLinJiawei
622be25371aSYikeZhou/**
623be25371aSYikeZhou * IO bundle for the Decode unit
624be25371aSYikeZhou */
6252225d46eSJiawei Linclass DecodeUnitIO(implicit p: Parameters) extends XSBundle {
6263b739f49SXuan Hu  val enq = new Bundle {
6273b739f49SXuan Hu    val ctrlFlow = Input(new StaticInst)
628d91483a6Sfdy    val vtype = Input(new VType)
6294aa9ed34Sfdy  }
6303b739f49SXuan Hu//  val vconfig = Input(UInt(XLEN.W))
6313b739f49SXuan Hu  val deq = new Bundle {
632d91483a6Sfdy    val decodedInst = Output(new DecodedInst)
633d91483a6Sfdy    val isComplex = Output(Bool())
6347f9f0a79SzhanglyGit    val uopInfo = Output(new UopInfo)
6353b739f49SXuan Hu  }
636af2f7849Shappy-lx  val csrCtrl = Input(new CustomCSRCtrlIO)
637be25371aSYikeZhou}
638be25371aSYikeZhou
639be25371aSYikeZhou/**
640be25371aSYikeZhou * Decode unit that takes in a single CtrlFlow and generates a CfCtrl.
641be25371aSYikeZhou */
6422225d46eSJiawei Linclass DecodeUnit(implicit p: Parameters) extends XSModule with DecodeUnitConstants {
643be25371aSYikeZhou  val io = IO(new DecodeUnitIO)
644be25371aSYikeZhou
6453b739f49SXuan Hu  val ctrl_flow = io.enq.ctrlFlow // input with RVC Expanded
646be25371aSYikeZhou
64775e2c883SXuan Hu  private val inst: XSInstBitFields = io.enq.ctrlFlow.instr.asTypeOf(new XSInstBitFields)
64875e2c883SXuan Hu
64957a10886SXuan Hu  val decode_table: Array[(BitPat, List[BitPat])] = XDecode.table ++
65057a10886SXuan Hu    FpDecode.table ++
651bdda74fdSxiaofeibao-xjtu//    FDivSqrtDecode.table ++
652a19215ddSYinan Xu    X64Decode.table ++
653a19215ddSYinan Xu    XSTrapDecode.table ++
654a19215ddSYinan Xu    BDecode.table ++
655a19215ddSYinan Xu    CBODecode.table ++
656b65b9ebaSXuan Hu    SvinvalDecode.table ++
657b65b9ebaSXuan Hu    VecDecoder.table
658b65b9ebaSXuan Hu
65989cc69c1STang Haojin  require(decode_table.map(_._2.length == 15).reduce(_ && _), "Decode tables have different column size")
660a19215ddSYinan Xu  // assertion for LUI: only LUI should be assigned `selImm === SelImm.IMM_U && fuType === FuType.alu`
661*239413e5SXuan Hu  val luiMatch = (t: Seq[BitPat]) => t(3).value == FuType.alu.ohid && t.reverse.head.value == SelImm.IMM_U.litValue
662a19215ddSYinan Xu  val luiTable = decode_table.filter(t => luiMatch(t._2)).map(_._1).distinct
663a19215ddSYinan Xu  assert(luiTable.length == 1 && luiTable.head == LUI, "Conflicts: LUI is determined by FuType and SelImm in Dispatch")
664be25371aSYikeZhou
6654d24c305SYikeZhou  // output
6663b739f49SXuan Hu  val decodedInst: DecodedInst = Wire(new DecodedInst()).decode(ctrl_flow.instr, decode_table)
667be25371aSYikeZhou
6682ce29ed6SLinJiawei  val fpDecoder = Module(new FPDecoder)
6697ceedf30SLinJiawei  fpDecoder.io.instr := ctrl_flow.instr
6703b739f49SXuan Hu  decodedInst.fpu := fpDecoder.io.fpCtrl
6711a1319cbSLinJiawei
6723b739f49SXuan Hu  decodedInst.connectStaticInst(io.enq.ctrlFlow)
6733b739f49SXuan Hu
674a8db15d8Sfdy  decodedInst.uopIdx := 0.U
675d91483a6Sfdy  decodedInst.firstUop := true.B
676d91483a6Sfdy  decodedInst.lastUop := true.B
677f1e8fcb2SXuan Hu  decodedInst.numUops := 1.U
678deb6421eSHaojin Tang
67973c4359eSYikeZhou  val isMove = BitPat("b000000000000_?????_000_?????_0010011") === ctrl_flow.instr
68098cfe81bSxgkiri  decodedInst.isMove := isMove && inst.RD =/= 0.U
68173c4359eSYikeZhou
682996aacc9SXuan Hu  private val v0Idx = 0
683996aacc9SXuan Hu  private val vconfigIdx = VCONFIG_IDX
684996aacc9SXuan Hu
685178dd38cSYikeZhou  // read src1~3 location
68698cfe81bSxgkiri  decodedInst.lsrc(0) := inst.RS1
68798cfe81bSxgkiri  decodedInst.lsrc(1) := inst.RS2
68898cfe81bSxgkiri  decodedInst.lsrc(2) := inst.FS3
689996aacc9SXuan Hu  decodedInst.lsrc(3) := v0Idx.U
690996aacc9SXuan Hu  decodedInst.lsrc(4) := vconfigIdx.U
691996aacc9SXuan Hu  decodedInst.srcType(3) := Mux(inst.VM.asBool, SrcType.DC, SrcType.vp) // mask src
692996aacc9SXuan Hu  decodedInst.srcType(4) := SrcType.vp // vconfig
693b6b11f60SXuan Hu
6943f6c8c2cSXuan Hu  // cs.lsrc(2) := Mux(FuType.isVecExu(cs.fuType), ctrl_flow.instr(RD_MSB, RD_LSB), ctrl_flow.instr(RS3_MSB, RS3_LSB))
695178dd38cSYikeZhou  // read dest location
69698cfe81bSxgkiri  decodedInst.ldest := inst.RD
6974d24c305SYikeZhou
698c2a8ae00SYikeZhou  // fill in exception vector
699567f0269Ssinsanction  val vecException = Module(new VecExceptionGen)
700567f0269Ssinsanction  vecException.io.inst := io.enq.ctrlFlow.instr
701567f0269Ssinsanction  vecException.io.decodedInst := decodedInst
702bdda74fdSxiaofeibao-xjtu  vecException.io.vtype := decodedInst.vpu.vtype
703567f0269Ssinsanction  decodedInst.exceptionVec(illegalInstr) := decodedInst.selImm === SelImm.INVALID_INSTR || vecException.io.illegalInst
7044d24c305SYikeZhou
705af2f7849Shappy-lx  when (!io.csrCtrl.svinval_enable) {
706567f0269Ssinsanction    val base_ii = decodedInst.selImm === SelImm.INVALID_INSTR || vecException.io.illegalInst
707af2f7849Shappy-lx    val sinval = BitPat("b0001011_?????_?????_000_00000_1110011") === ctrl_flow.instr
708af2f7849Shappy-lx    val w_inval = BitPat("b0001100_00000_00000_000_00000_1110011") === ctrl_flow.instr
709af2f7849Shappy-lx    val inval_ir = BitPat("b0001100_00001_00000_000_00000_1110011") === ctrl_flow.instr
710af2f7849Shappy-lx    val svinval_ii = sinval || w_inval || inval_ir
7113b739f49SXuan Hu    decodedInst.exceptionVec(illegalInstr) := base_ii || svinval_ii
7123b739f49SXuan Hu    decodedInst.flushPipe := false.B
713af2f7849Shappy-lx  }
714af2f7849Shappy-lx
715c2a8ae00SYikeZhou  // fix frflags
716c2a8ae00SYikeZhou  //                           fflags    zero csrrs rd    csr
717c2a8ae00SYikeZhou  val isFrflags = BitPat("b000000000001_00000_010_?????_1110011") === ctrl_flow.instr
7183b739f49SXuan Hu  when (decodedInst.fuType === FuType.csr.U && isFrflags) {
7193b739f49SXuan Hu    decodedInst.blockBackward := false.B
720c2a8ae00SYikeZhou  }
721c2a8ae00SYikeZhou
7223b739f49SXuan Hu  decodedInst.imm := LookupTree(decodedInst.selImm, ImmUnion.immSelMap.map(
723b0ae3ac4SLinJiawei    x => {
7247ceedf30SLinJiawei      val minBits = x._2.minBitsFromInstr(ctrl_flow.instr)
725b0ae3ac4SLinJiawei      require(minBits.getWidth == x._2.len)
726b0ae3ac4SLinJiawei      x._1 -> minBits
727b0ae3ac4SLinJiawei    }
728b0ae3ac4SLinJiawei  ))
729aac4464eSYinan Xu
7303b739f49SXuan Hu  decodedInst.commitType := 0.U // Todo: remove it
73175e2c883SXuan Hu
73275e2c883SXuan Hu  decodedInst.isVset := FuType.isVset(decodedInst.fuType)
733be25371aSYikeZhou
7349bb931c8Sxiaofeibao-xjtu  private val needReverseInsts = Seq(VRSUB_VI, VRSUB_VX, VREM_VV, VREM_VX, VREMU_VV, VFRDIV_VF, VFRSUB_VF, VFMV_F_S)
73539c388b5SXuan Hu  private val vextInsts = Seq(VZEXT_VF2, VZEXT_VF4, VZEXT_VF8, VSEXT_VF2, VSEXT_VF4, VSEXT_VF8)
73639c388b5SXuan Hu  private val narrowInsts = Seq(
73739c388b5SXuan Hu    VNSRA_WV, VNSRA_WX, VNSRA_WI, VNSRL_WV, VNSRL_WX, VNSRL_WI,
73839c388b5SXuan Hu    VNCLIP_WV, VNCLIP_WX, VNCLIP_WI, VNCLIPU_WV, VNCLIPU_WX, VNCLIPU_WI,
73939c388b5SXuan Hu  )
74039c388b5SXuan Hu  private val maskDstInsts = Seq(
74139c388b5SXuan Hu    VMADC_VV, VMADC_VX,  VMADC_VI,  VMADC_VVM, VMADC_VXM, VMADC_VIM,
74239c388b5SXuan Hu    VMSBC_VV, VMSBC_VX,  VMSBC_VVM, VMSBC_VXM,
74339c388b5SXuan Hu    VMAND_MM, VMNAND_MM, VMANDN_MM, VMXOR_MM, VMOR_MM, VMNOR_MM, VMORN_MM, VMXNOR_MM,
7449eaaa75dSXuan Hu    VMSEQ_VV, VMSEQ_VX, VMSEQ_VI, VMSNE_VV, VMSNE_VX, VMSNE_VI,
7459eaaa75dSXuan Hu    VMSLE_VV, VMSLE_VX, VMSLE_VI, VMSLEU_VV, VMSLEU_VX, VMSLEU_VI,
7469eaaa75dSXuan Hu    VMSLT_VV, VMSLT_VX, VMSLTU_VV, VMSLTU_VX,
7479eaaa75dSXuan Hu    VMSGT_VX, VMSGT_VI, VMSGTU_VX, VMSGTU_VI,
748f06d6d60Sxiaofeibao-xjtu    VMFEQ_VV, VMFEQ_VF, VMFNE_VV, VMFNE_VF, VMFLT_VV, VMFLT_VF, VMFLE_VV, VMFLE_VF, VMFGT_VF, VMFGE_VF,
74939c388b5SXuan Hu  )
75030fcc710SZiyue Zhang  private val maskOpInsts = Seq(
75130fcc710SZiyue Zhang    VMAND_MM, VMNAND_MM, VMANDN_MM, VMXOR_MM, VMOR_MM, VMNOR_MM, VMORN_MM, VMXNOR_MM,
75230fcc710SZiyue Zhang  )
753bdda74fdSxiaofeibao-xjtu  private val wfflagsInsts = Seq(
754bdda74fdSxiaofeibao-xjtu    // opfff
755bdda74fdSxiaofeibao-xjtu    FADD_S, FSUB_S, FADD_D, FSUB_D,
756bdda74fdSxiaofeibao-xjtu    FEQ_S, FLT_S, FLE_S, FEQ_D, FLT_D, FLE_D,
757bdda74fdSxiaofeibao-xjtu    FMIN_S, FMAX_S, FMIN_D, FMAX_D,
758bdda74fdSxiaofeibao-xjtu    FMUL_S, FMUL_D,
759bdda74fdSxiaofeibao-xjtu    FDIV_S, FDIV_D, FSQRT_S, FSQRT_D,
760bdda74fdSxiaofeibao-xjtu    FMADD_S, FMSUB_S, FNMADD_S, FNMSUB_S, FMADD_D, FMSUB_D, FNMADD_D, FNMSUB_D,
761bdda74fdSxiaofeibao-xjtu    FSGNJ_S, FSGNJN_S, FSGNJX_S,
762bdda74fdSxiaofeibao-xjtu    // opfvv
763bdda74fdSxiaofeibao-xjtu    VFADD_VV, VFSUB_VV, VFWADD_VV, VFWSUB_VV, VFWADD_WV, VFWSUB_WV,
764bdda74fdSxiaofeibao-xjtu    VFMUL_VV, VFDIV_VV, VFWMUL_VV,
765bdda74fdSxiaofeibao-xjtu    VFMACC_VV, VFNMACC_VV, VFMSAC_VV, VFNMSAC_VV, VFMADD_VV, VFNMADD_VV, VFMSUB_VV, VFNMSUB_VV,
766bdda74fdSxiaofeibao-xjtu    VFWMACC_VV, VFWNMACC_VV, VFWMSAC_VV, VFWNMSAC_VV,
767bdda74fdSxiaofeibao-xjtu    VFSQRT_V,
768bdda74fdSxiaofeibao-xjtu    VFMIN_VV, VFMAX_VV,
769bdda74fdSxiaofeibao-xjtu    VMFEQ_VV, VMFNE_VV, VMFLT_VV, VMFLE_VV,
770bdda74fdSxiaofeibao-xjtu    VFSGNJ_VV, VFSGNJN_VV, VFSGNJX_VV,
771bdda74fdSxiaofeibao-xjtu    // opfvf
772bdda74fdSxiaofeibao-xjtu    VFADD_VF, VFSUB_VF, VFRSUB_VF, VFWADD_VF, VFWSUB_VF, VFWADD_WF, VFWSUB_WF,
773bdda74fdSxiaofeibao-xjtu    VFMUL_VF, VFDIV_VF, VFRDIV_VF, VFWMUL_VF,
774bdda74fdSxiaofeibao-xjtu    VFMACC_VF, VFNMACC_VF, VFMSAC_VF, VFNMSAC_VF, VFMADD_VF, VFNMADD_VF, VFMSUB_VF, VFNMSUB_VF,
775bdda74fdSxiaofeibao-xjtu    VFWMACC_VF, VFWNMACC_VF, VFWMSAC_VF, VFWNMSAC_VF,
776bdda74fdSxiaofeibao-xjtu    VFMIN_VF, VFMAX_VF,
777bdda74fdSxiaofeibao-xjtu    VMFEQ_VF, VMFNE_VF, VMFLT_VF, VMFLE_VF, VMFGT_VF, VMFGE_VF,
778bdda74fdSxiaofeibao-xjtu    VFSGNJ_VF, VFSGNJN_VF, VFSGNJX_VF,
779bdda74fdSxiaofeibao-xjtu    // fcvt & vfcvt
780bdda74fdSxiaofeibao-xjtu    FCVT_S_W, FCVT_S_WU, FCVT_S_L, FCVT_S_LU,
781bdda74fdSxiaofeibao-xjtu    FCVT_W_S, FCVT_WU_S, FCVT_L_S, FCVT_LU_S,
782bdda74fdSxiaofeibao-xjtu    FCVT_D_W, FCVT_D_WU, FCVT_D_L, FCVT_D_LU,
783bdda74fdSxiaofeibao-xjtu    FCVT_W_D, FCVT_WU_D, FCVT_L_D, FCVT_LU_D, FCVT_S_D, FCVT_D_S,
784bdda74fdSxiaofeibao-xjtu  )
785bdda74fdSxiaofeibao-xjtu  decodedInst.wfflags := wfflagsInsts.map(_ === inst.ALL).reduce(_ || _)
786bdda74fdSxiaofeibao-xjtu  val fpToVecDecoder = Module(new FPToVecDecoder())
787bdda74fdSxiaofeibao-xjtu  fpToVecDecoder.io.instr := inst.asUInt
788bdda74fdSxiaofeibao-xjtu  val isFpToVecInst = fpToVecDecoder.io.vpuCtrl.fpu.isFpToVecInst
7893b739f49SXuan Hu  decodedInst.vpu := 0.U.asTypeOf(decodedInst.vpu) // Todo: Connect vpu decoder
790bdda74fdSxiaofeibao-xjtu  when(isFpToVecInst){
791bdda74fdSxiaofeibao-xjtu    decodedInst.vpu := fpToVecDecoder.io.vpuCtrl
792bdda74fdSxiaofeibao-xjtu  }.otherwise{
79375e2c883SXuan Hu    decodedInst.vpu.vill := io.enq.vtype.illegal
79475e2c883SXuan Hu    decodedInst.vpu.vma := io.enq.vtype.vma
79575e2c883SXuan Hu    decodedInst.vpu.vta := io.enq.vtype.vta
79675e2c883SXuan Hu    decodedInst.vpu.vsew := io.enq.vtype.vsew
79775e2c883SXuan Hu    decodedInst.vpu.vlmul := io.enq.vtype.vlmul
79875e2c883SXuan Hu    decodedInst.vpu.vm := inst.VM
79975e2c883SXuan Hu    decodedInst.vpu.nf := inst.NF
80042475509SXuan Hu    decodedInst.vpu.needScalaSrc := Category.needScalaSrc(inst.VCATEGORY)
801ad22c988SZiyue Zhang    decodedInst.vpu.permImmTruncate := Category.permImmTruncate(inst.VCATEGORY)
80294e7468cSXuan Hu    decodedInst.vpu.isReverse := needReverseInsts.map(_ === inst.ALL).reduce(_ || _)
80339c388b5SXuan Hu    decodedInst.vpu.isExt := vextInsts.map(_ === inst.ALL).reduce(_ || _)
80439c388b5SXuan Hu    decodedInst.vpu.isNarrow := narrowInsts.map(_ === inst.ALL).reduce(_ || _)
80539c388b5SXuan Hu    decodedInst.vpu.isDstMask := maskDstInsts.map(_ === inst.ALL).reduce(_ || _)
80630fcc710SZiyue Zhang    decodedInst.vpu.isOpMask := maskOpInsts.map(_ === inst.ALL).reduce(_ || _)
807bdda74fdSxiaofeibao-xjtu  }
80875e2c883SXuan Hu
8097f9f0a79SzhanglyGit  val uopInfoGen = Module(new UopInfoGen)
81087dd4e0dSzhanglyGit  uopInfoGen.io.in.preInfo.typeOfSplit := decodedInst.uopSplitType
81187dd4e0dSzhanglyGit  uopInfoGen.io.in.preInfo.vsew := decodedInst.vpu.vsew
81287dd4e0dSzhanglyGit  uopInfoGen.io.in.preInfo.vlmul := decodedInst.vpu.vlmul
81387dd4e0dSzhanglyGit  uopInfoGen.io.in.preInfo.vwidth := inst.RM
8147f9f0a79SzhanglyGit  io.deq.isComplex := uopInfoGen.io.out.isComplex
8157f9f0a79SzhanglyGit  io.deq.uopInfo.numOfUop := uopInfoGen.io.out.uopInfo.numOfUop
8167f9f0a79SzhanglyGit  io.deq.uopInfo.lmul := uopInfoGen.io.out.uopInfo.lmul
8177f9f0a79SzhanglyGit
81875e2c883SXuan Hu  io.deq.decodedInst := decodedInst
8194aa9ed34Sfdy
820be25371aSYikeZhou  //-------------------------------------------------------------
821be25371aSYikeZhou  // Debug Info
8223b739f49SXuan Hu//  XSDebug("in:  instr=%x pc=%x excepVec=%b crossPageIPFFix=%d\n",
8233b739f49SXuan Hu//    io.enq.ctrl_flow.instr, io.enq.ctrl_flow.pc, io.enq.ctrl_flow.exceptionVec.asUInt,
8243b739f49SXuan Hu//    io.enq.ctrl_flow.crossPageIPFFix)
8253b739f49SXuan Hu//  XSDebug("out: srcType(0)=%b srcType(1)=%b srcType(2)=%b lsrc(0)=%d lsrc(1)=%d lsrc(2)=%d ldest=%d fuType=%b fuOpType=%b\n",
8263b739f49SXuan Hu//    io.deq.cf_ctrl.ctrl.srcType(0), io.deq.cf_ctrl.ctrl.srcType(1), io.deq.cf_ctrl.ctrl.srcType(2),
8273b739f49SXuan Hu//    io.deq.cf_ctrl.ctrl.lsrc(0), io.deq.cf_ctrl.ctrl.lsrc(1), io.deq.cf_ctrl.ctrl.lsrc(2),
8283b739f49SXuan Hu//    io.deq.cf_ctrl.ctrl.ldest, io.deq.cf_ctrl.ctrl.fuType, io.deq.cf_ctrl.ctrl.fuOpType)
8293b739f49SXuan Hu//  XSDebug("out: rfWen=%d fpWen=%d isXSTrap=%d noSpecExec=%d isBlocked=%d flushPipe=%d imm=%x\n",
8303b739f49SXuan Hu//    io.deq.cf_ctrl.ctrl.rfWen, io.deq.cf_ctrl.ctrl.fpWen, io.deq.cf_ctrl.ctrl.isXSTrap,
8313b739f49SXuan Hu//    io.deq.cf_ctrl.ctrl.noSpecExec, io.deq.cf_ctrl.ctrl.blockBackward, io.deq.cf_ctrl.ctrl.flushPipe,
8323b739f49SXuan Hu//    io.deq.cf_ctrl.ctrl.imm)
8333b739f49SXuan Hu//  XSDebug("out: excepVec=%b\n", io.deq.cf_ctrl.cf.exceptionVec.asUInt)
834be25371aSYikeZhou}
835