1a2f8e91fSYikeZhou//****************************************************************************** 2a2f8e91fSYikeZhou// Copyright (c) 2015 - 2018, The Regents of the University of California (Regents). 3a2f8e91fSYikeZhou// All Rights Reserved. See LICENSE and LICENSE.SiFive for license details. 4a2f8e91fSYikeZhou//------------------------------------------------------------------------------ 5a2f8e91fSYikeZhou 6be25371aSYikeZhoupackage xiangshan.backend.decode 7be25371aSYikeZhou 8be25371aSYikeZhouimport chisel3._ 9be25371aSYikeZhouimport chisel3.util._ 10be25371aSYikeZhou 114d24c305SYikeZhouimport freechips.rocketchip.config.Parameters 124d24c305SYikeZhouimport freechips.rocketchip.rocket.{RVCDecoder, ExpandedInstruction} 134d24c305SYikeZhouimport freechips.rocketchip.rocket.{CSR,Causes} 144d24c305SYikeZhouimport freechips.rocketchip.util.{uintToBitPat,UIntIsOneOf} 154d24c305SYikeZhou 16be25371aSYikeZhouimport xiangshan._ 17be25371aSYikeZhouimport utils._ 18be25371aSYikeZhouimport xiangshan.backend._ 194d24c305SYikeZhouimport xiangshan.backend.decode.AltInstructions._ 204d24c305SYikeZhouimport xiangshan.backend.fu.fpu.FPUOpType 214d24c305SYikeZhouimport freechips.rocketchip.tile.RocketTile 22be25371aSYikeZhou 23be25371aSYikeZhou/** 24be25371aSYikeZhou * Abstract trait giving defaults and other relevant values to different Decode constants/ 25be25371aSYikeZhou */ 26be25371aSYikeZhouabstract trait DecodeConstants { 274d24c305SYikeZhou def X = BitPat("b?") 284d24c305SYikeZhou def N = BitPat("b0") 294d24c305SYikeZhou def Y = BitPat("b1") 304d24c305SYikeZhou 31c2a8ae00SYikeZhou def decodeDefault: List[BitPat] = // illegal instruction 324d24c305SYikeZhou // src1Type src2Type src3Type fuType fuOpType rfWen 334d24c305SYikeZhou // | | | | | | fpWen 344d24c305SYikeZhou // | | | | | | | isXSTrap 354d24c305SYikeZhou // | | | | | | | | noSpecExec 364d24c305SYikeZhou // | | | | | | | | | blockBackward 374d24c305SYikeZhou // | | | | | | | | | | flushPipe 384d24c305SYikeZhou // | | | | | | | | | | | isRVF 39c2a8ae00SYikeZhou // | | | | | | | | | | | | selImm 401a3df1feSYikeZhou List(SrcType.DC, SrcType.DC, SrcType.DC, FuType.alu, ALUOpType.sll, N, N, N, N, N, N, N, SelImm.INVALID_INSTR) // Use SelImm to indicate invalid instr 414d24c305SYikeZhou 42be25371aSYikeZhou val table: Array[(BitPat, List[BitPat])] 43be25371aSYikeZhou} 44be25371aSYikeZhou 45c2a8ae00SYikeZhoutrait DecodeUnitConstants 464d24c305SYikeZhou{ 474d24c305SYikeZhou // abstract out instruction decode magic numbers 484d24c305SYikeZhou val RD_MSB = 11 494d24c305SYikeZhou val RD_LSB = 7 504d24c305SYikeZhou val RS1_MSB = 19 514d24c305SYikeZhou val RS1_LSB = 15 524d24c305SYikeZhou val RS2_MSB = 24 534d24c305SYikeZhou val RS2_LSB = 20 544d24c305SYikeZhou val RS3_MSB = 31 554d24c305SYikeZhou val RS3_LSB = 27 564d24c305SYikeZhou} 574d24c305SYikeZhou 58be25371aSYikeZhou/** 59be25371aSYikeZhou * Decoded control signals 604d24c305SYikeZhou * See xiangshan/package.scala, xiangshan/backend/package.scala, Bundle.scala 61be25371aSYikeZhou */ 62c2a8ae00SYikeZhou 63be25371aSYikeZhou/** 64be25371aSYikeZhou * Decode constants for RV64 65be25371aSYikeZhou */ 66be25371aSYikeZhouobject X64Decode extends DecodeConstants { 674d24c305SYikeZhou val table: Array[(BitPat, List[BitPat])] = Array( 68c2a8ae00SYikeZhou LD -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.ldu, LSUOpType.ld, Y, N, N, N, N, N, N, SelImm.IMM_I), 69c2a8ae00SYikeZhou LWU -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.ldu, LSUOpType.lwu, Y, N, N, N, N, N, N, SelImm.IMM_I), 70c2a8ae00SYikeZhou SD -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.stu, LSUOpType.sd, N, N, N, N, N, N, N, SelImm.IMM_S), 714d24c305SYikeZhou 72c2a8ae00SYikeZhou SLLI -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.alu, ALUOpType.sll, Y, N, N, N, N, N, N, SelImm.IMM_I), 73c2a8ae00SYikeZhou SRLI -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.alu, ALUOpType.srl, Y, N, N, N, N, N, N, SelImm.IMM_I), 74c2a8ae00SYikeZhou SRAI -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.alu, ALUOpType.sra, Y, N, N, N, N, N, N, SelImm.IMM_I), 754d24c305SYikeZhou 76c2a8ae00SYikeZhou ADDIW -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.alu, ALUOpType.addw, Y, N, N, N, N, N, N, SelImm.IMM_I), 77c2a8ae00SYikeZhou SLLIW -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.alu, ALUOpType.sllw, Y, N, N, N, N, N, N, SelImm.IMM_I), 78c2a8ae00SYikeZhou SRAIW -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.alu, ALUOpType.sraw, Y, N, N, N, N, N, N, SelImm.IMM_I), 79c2a8ae00SYikeZhou SRLIW -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.alu, ALUOpType.srlw, Y, N, N, N, N, N, N, SelImm.IMM_I), 804d24c305SYikeZhou 81c2a8ae00SYikeZhou ADDW -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.alu, ALUOpType.addw, Y, N, N, N, N, N, N, SelImm.IMM_X), 82c2a8ae00SYikeZhou SUBW -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.alu, ALUOpType.subw, Y, N, N, N, N, N, N, SelImm.IMM_X), 83c2a8ae00SYikeZhou SLLW -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.alu, ALUOpType.sllw, Y, N, N, N, N, N, N, SelImm.IMM_X), 84c2a8ae00SYikeZhou SRAW -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.alu, ALUOpType.sraw, Y, N, N, N, N, N, N, SelImm.IMM_X), 85c2a8ae00SYikeZhou SRLW -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.alu, ALUOpType.srlw, Y, N, N, N, N, N, N, SelImm.IMM_X) 864d24c305SYikeZhou ) 87be25371aSYikeZhou} 88be25371aSYikeZhou 89be25371aSYikeZhou/** 90be25371aSYikeZhou * Overall Decode constants 91be25371aSYikeZhou */ 92be25371aSYikeZhouobject XDecode extends DecodeConstants { 934d24c305SYikeZhou val table: Array[(BitPat, List[BitPat])] = Array( 94c2a8ae00SYikeZhou LW -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.ldu, LSUOpType.lw, Y, N, N, N, N, N, N, SelImm.IMM_I), 95c2a8ae00SYikeZhou LH -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.ldu, LSUOpType.lh, Y, N, N, N, N, N, N, SelImm.IMM_I), 96c2a8ae00SYikeZhou LHU -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.ldu, LSUOpType.lhu, Y, N, N, N, N, N, N, SelImm.IMM_I), 97c2a8ae00SYikeZhou LB -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.ldu, LSUOpType.lb, Y, N, N, N, N, N, N, SelImm.IMM_I), 98c2a8ae00SYikeZhou LBU -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.ldu, LSUOpType.lbu, Y, N, N, N, N, N, N, SelImm.IMM_I), 994d24c305SYikeZhou 100c2a8ae00SYikeZhou SW -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.stu, LSUOpType.sw, N, N, N, N, N, N, N, SelImm.IMM_S), 101c2a8ae00SYikeZhou SH -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.stu, LSUOpType.sh, N, N, N, N, N, N, N, SelImm.IMM_S), 102c2a8ae00SYikeZhou SB -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.stu, LSUOpType.sb, N, N, N, N, N, N, N, SelImm.IMM_S), 1034d24c305SYikeZhou 104c2a8ae00SYikeZhou LUI -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.alu, ALUOpType.add, Y, N, N, N, N, N, N, SelImm.IMM_U), 1054d24c305SYikeZhou 106c2a8ae00SYikeZhou ADDI -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.alu, ALUOpType.add, Y, N, N, N, N, N, N, SelImm.IMM_I), 107c2a8ae00SYikeZhou ANDI -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.alu, ALUOpType.and, Y, N, N, N, N, N, N, SelImm.IMM_I), 108c2a8ae00SYikeZhou ORI -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.alu, ALUOpType.or, Y, N, N, N, N, N, N, SelImm.IMM_I), 109c2a8ae00SYikeZhou XORI -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.alu, ALUOpType.xor, Y, N, N, N, N, N, N, SelImm.IMM_I), 110c2a8ae00SYikeZhou SLTI -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.alu, ALUOpType.slt, Y, N, N, N, N, N, N, SelImm.IMM_I), 111c2a8ae00SYikeZhou SLTIU -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.alu, ALUOpType.sltu, Y, N, N, N, N, N, N, SelImm.IMM_I), 1124d24c305SYikeZhou 113c2a8ae00SYikeZhou SLL -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.alu, ALUOpType.sll, Y, N, N, N, N, N, N, SelImm.IMM_X), 114c2a8ae00SYikeZhou ADD -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.alu, ALUOpType.add, Y, N, N, N, N, N, N, SelImm.IMM_X), 115c2a8ae00SYikeZhou SUB -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.alu, ALUOpType.sub, Y, N, N, N, N, N, N, SelImm.IMM_X), 116c2a8ae00SYikeZhou SLT -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.alu, ALUOpType.slt, Y, N, N, N, N, N, N, SelImm.IMM_X), 117c2a8ae00SYikeZhou SLTU -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.alu, ALUOpType.sltu, Y, N, N, N, N, N, N, SelImm.IMM_X), 118c2a8ae00SYikeZhou AND -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.alu, ALUOpType.and, Y, N, N, N, N, N, N, SelImm.IMM_X), 119c2a8ae00SYikeZhou OR -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.alu, ALUOpType.or, Y, N, N, N, N, N, N, SelImm.IMM_X), 120c2a8ae00SYikeZhou XOR -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.alu, ALUOpType.xor, Y, N, N, N, N, N, N, SelImm.IMM_X), 121c2a8ae00SYikeZhou SRA -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.alu, ALUOpType.sra, Y, N, N, N, N, N, N, SelImm.IMM_X), 122c2a8ae00SYikeZhou SRL -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.alu, ALUOpType.srl, Y, N, N, N, N, N, N, SelImm.IMM_X), 1234d24c305SYikeZhou 124c2a8ae00SYikeZhou MUL -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.mul, MDUOpType.mul, Y, N, N, N, N, N, N, SelImm.IMM_X), 125c2a8ae00SYikeZhou MULH -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.mul, MDUOpType.mulh, Y, N, N, N, N, N, N, SelImm.IMM_X), 126c2a8ae00SYikeZhou MULHU -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.mul, MDUOpType.mulhu, Y, N, N, N, N, N, N, SelImm.IMM_X), 127c2a8ae00SYikeZhou MULHSU -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.mul, MDUOpType.mulhsu, Y, N, N, N, N, N, N, SelImm.IMM_X), 128c2a8ae00SYikeZhou MULW -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.mul, MDUOpType.mulw, Y, N, N, N, N, N, N, SelImm.IMM_X), 1294d24c305SYikeZhou 130c2a8ae00SYikeZhou DIV -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.div, MDUOpType.div, Y, N, N, N, N, N, N, SelImm.IMM_X), 131c2a8ae00SYikeZhou DIVU -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.div, MDUOpType.divu, Y, N, N, N, N, N, N, SelImm.IMM_X), 132c2a8ae00SYikeZhou REM -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.div, MDUOpType.rem, Y, N, N, N, N, N, N, SelImm.IMM_X), 133c2a8ae00SYikeZhou REMU -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.div, MDUOpType.remu, Y, N, N, N, N, N, N, SelImm.IMM_X), 134c2a8ae00SYikeZhou DIVW -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.div, MDUOpType.divw, Y, N, N, N, N, N, N, SelImm.IMM_X), 135c2a8ae00SYikeZhou DIVUW -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.div, MDUOpType.divuw, Y, N, N, N, N, N, N, SelImm.IMM_X), 136c2a8ae00SYikeZhou REMW -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.div, MDUOpType.remw, Y, N, N, N, N, N, N, SelImm.IMM_X), 137c2a8ae00SYikeZhou REMUW -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.div, MDUOpType.remuw, Y, N, N, N, N, N, N, SelImm.IMM_X), 1384d24c305SYikeZhou 139c2a8ae00SYikeZhou AUIPC -> List(SrcType.pc, SrcType.imm, SrcType.DC, FuType.alu, ALUOpType.add, Y, N, N, N, N, N, N, SelImm.IMM_U), 140c2a8ae00SYikeZhou JAL -> List(SrcType.pc , SrcType.imm, SrcType.DC, FuType.jmp, JumpOpType.jal, Y, N, N, N, N, N, N, SelImm.IMM_UJ), 141c2a8ae00SYikeZhou JALR -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.jmp, JumpOpType.jalr, Y, N, N, N, N, N, N, SelImm.IMM_I), 142c2a8ae00SYikeZhou BEQ -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.alu, ALUOpType.beq, N, N, N, N, N, N, N, SelImm.IMM_SB), 143c2a8ae00SYikeZhou BNE -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.alu, ALUOpType.bne, N, N, N, N, N, N, N, SelImm.IMM_SB), 144c2a8ae00SYikeZhou BGE -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.alu, ALUOpType.bge, N, N, N, N, N, N, N, SelImm.IMM_SB), 145c2a8ae00SYikeZhou BGEU -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.alu, ALUOpType.bgeu, N, N, N, N, N, N, N, SelImm.IMM_SB), 146c2a8ae00SYikeZhou BLT -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.alu, ALUOpType.blt, N, N, N, N, N, N, N, SelImm.IMM_SB), 147c2a8ae00SYikeZhou BLTU -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.alu, ALUOpType.bltu, N, N, N, N, N, N, N, SelImm.IMM_SB), 1484d24c305SYikeZhou 1494d24c305SYikeZhou // I-type, the immediate12 holds the CSR register. 150*1a682360SYikeZhou CSRRW -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.csr, CSROpType.wrt, Y, N, N, Y, Y, N, N, SelImm.IMM_I), 151*1a682360SYikeZhou CSRRS -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.csr, CSROpType.set, Y, N, N, Y, Y, N, N, SelImm.IMM_I), 152*1a682360SYikeZhou CSRRC -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.csr, CSROpType.clr, Y, N, N, Y, Y, N, N, SelImm.IMM_I), 1534d24c305SYikeZhou 154*1a682360SYikeZhou CSRRWI -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.csr, CSROpType.wrti, Y, N, N, Y, Y, N, N, SelImm.IMM_I), 155*1a682360SYikeZhou CSRRSI -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.csr, CSROpType.seti, Y, N, N, Y, Y, N, N, SelImm.IMM_I), 156*1a682360SYikeZhou CSRRCI -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.csr, CSROpType.clri, Y, N, N, Y, Y, N, N, SelImm.IMM_I), 1574d24c305SYikeZhou 158c2a8ae00SYikeZhou SFENCE_VMA->List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.fence, FenceOpType.sfence, N, N, N, Y, Y, Y, N, SelImm.IMM_X), 159c2a8ae00SYikeZhou ECALL -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.csr, CSROpType.jmp, Y, N, N, Y, Y, N, N, SelImm.IMM_X), 160c2a8ae00SYikeZhou SRET -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.csr, CSROpType.jmp, Y, N, N, Y, Y, N, N, SelImm.IMM_X), 161c2a8ae00SYikeZhou MRET -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.csr, CSROpType.jmp, Y, N, N, Y, Y, N, N, SelImm.IMM_X), 1624d24c305SYikeZhou 163c2a8ae00SYikeZhou WFI -> List(SrcType.pc, SrcType.imm, SrcType.DC, FuType.alu, ALUOpType.sll, Y, N, N, N, N, N, N, SelImm.IMM_X), 1644d24c305SYikeZhou 165c2a8ae00SYikeZhou FENCE_I -> List(SrcType.pc, SrcType.imm, SrcType.DC, FuType.fence, FenceOpType.fencei, N, N, N, Y, Y, Y, N, SelImm.IMM_X), 166c2a8ae00SYikeZhou FENCE -> List(SrcType.pc, SrcType.imm, SrcType.DC, FuType.fence, FenceOpType.fence, N, N, N, Y, Y, Y, N, SelImm.IMM_X), 1674d24c305SYikeZhou 1684d24c305SYikeZhou // A-type 169c2a8ae00SYikeZhou AMOADD_W-> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.mou, LSUOpType.amoadd_w, Y, N, N, Y, Y, N, N, SelImm.IMM_X), 170c2a8ae00SYikeZhou AMOXOR_W-> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.mou, LSUOpType.amoxor_w, Y, N, N, Y, Y, N, N, SelImm.IMM_X), 171c2a8ae00SYikeZhou AMOSWAP_W->List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.mou, LSUOpType.amoswap_w, Y, N, N, Y, Y, N, N, SelImm.IMM_X), 172c2a8ae00SYikeZhou AMOAND_W-> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.mou, LSUOpType.amoand_w, Y, N, N, Y, Y, N, N, SelImm.IMM_X), 173c2a8ae00SYikeZhou AMOOR_W -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.mou, LSUOpType.amoor_w, Y, N, N, Y, Y, N, N, SelImm.IMM_X), 174c2a8ae00SYikeZhou AMOMIN_W-> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.mou, LSUOpType.amomin_w, Y, N, N, Y, Y, N, N, SelImm.IMM_X), 175c2a8ae00SYikeZhou AMOMINU_W->List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.mou, LSUOpType.amominu_w, Y, N, N, Y, Y, N, N, SelImm.IMM_X), 176c2a8ae00SYikeZhou AMOMAX_W-> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.mou, LSUOpType.amomax_w, Y, N, N, Y, Y, N, N, SelImm.IMM_X), 177c2a8ae00SYikeZhou AMOMAXU_W->List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.mou, LSUOpType.amomaxu_w, Y, N, N, Y, Y, N, N, SelImm.IMM_X), 1784d24c305SYikeZhou 179c2a8ae00SYikeZhou AMOADD_D-> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.mou, LSUOpType.amoadd_d, Y, N, N, Y, Y, N, N, SelImm.IMM_X), 180c2a8ae00SYikeZhou AMOXOR_D-> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.mou, LSUOpType.amoxor_d, Y, N, N, Y, Y, N, N, SelImm.IMM_X), 181c2a8ae00SYikeZhou AMOSWAP_D->List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.mou, LSUOpType.amoswap_d, Y, N, N, Y, Y, N, N, SelImm.IMM_X), 182c2a8ae00SYikeZhou AMOAND_D-> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.mou, LSUOpType.amoand_d, Y, N, N, Y, Y, N, N, SelImm.IMM_X), 183c2a8ae00SYikeZhou AMOOR_D -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.mou, LSUOpType.amoor_d, Y, N, N, Y, Y, N, N, SelImm.IMM_X), 184c2a8ae00SYikeZhou AMOMIN_D-> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.mou, LSUOpType.amomin_d, Y, N, N, Y, Y, N, N, SelImm.IMM_X), 185c2a8ae00SYikeZhou AMOMINU_D->List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.mou, LSUOpType.amominu_d, Y, N, N, Y, Y, N, N, SelImm.IMM_X), 186c2a8ae00SYikeZhou AMOMAX_D-> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.mou, LSUOpType.amomax_d, Y, N, N, Y, Y, N, N, SelImm.IMM_X), 187c2a8ae00SYikeZhou AMOMAXU_D->List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.mou, LSUOpType.amomaxu_d, Y, N, N, Y, Y, N, N, SelImm.IMM_X), 1884d24c305SYikeZhou 189c2a8ae00SYikeZhou LR_W -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.mou, LSUOpType.lr_w, Y, N, N, Y, Y, N, N, SelImm.IMM_X), 190c2a8ae00SYikeZhou LR_D -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.mou, LSUOpType.lr_d, Y, N, N, Y, Y, N, N, SelImm.IMM_X), 191c2a8ae00SYikeZhou SC_W -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.mou, LSUOpType.sc_w, Y, N, N, Y, Y, N, N, SelImm.IMM_X), 192c2a8ae00SYikeZhou SC_D -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.mou, LSUOpType.sc_d, Y, N, N, Y, Y, N, N, SelImm.IMM_X) 1934d24c305SYikeZhou ) 194be25371aSYikeZhou} 195be25371aSYikeZhou 196be25371aSYikeZhou/** 197be25371aSYikeZhou * FP Decode constants 198be25371aSYikeZhou */ 199be25371aSYikeZhouobject FDecode extends DecodeConstants{ 2004d24c305SYikeZhou val table: Array[(BitPat, List[BitPat])] = Array( 2014d24c305SYikeZhou 202c2a8ae00SYikeZhou FLW -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.ldu, LSUOpType.flw, N, Y, N, N, N, N, N, SelImm.IMM_I), 203c2a8ae00SYikeZhou FLD -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.ldu, LSUOpType.ld, N, Y, N, N, N, N, N, SelImm.IMM_I), 204c2a8ae00SYikeZhou FSW -> List(SrcType.reg, SrcType.fp, SrcType.DC, FuType.stu, LSUOpType.sw, N, N, N, N, N, N, N, SelImm.IMM_S), 205c2a8ae00SYikeZhou FSD -> List(SrcType.reg, SrcType.fp, SrcType.DC, FuType.stu, LSUOpType.sd, N, N, N, N, N, N, N, SelImm.IMM_S), 2064d24c305SYikeZhou 207c2a8ae00SYikeZhou FCLASS_S-> List(SrcType.fp , SrcType.imm, SrcType.DC, FuType.fmisc, FPUOpType.fclass, Y, N, N, N, N, N, Y, SelImm.IMM_X), 208c2a8ae00SYikeZhou FCLASS_D-> List(SrcType.fp , SrcType.imm, SrcType.DC, FuType.fmisc, FPUOpType.fclass, Y, N, N, N, N, N, N, SelImm.IMM_X), 2094d24c305SYikeZhou 210c2a8ae00SYikeZhou FMV_D_X -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.i2f, FPUOpType.fmv_i2f, N, Y, N, N, N, N, N, SelImm.IMM_X), 211c2a8ae00SYikeZhou FMV_X_D -> List(SrcType.fp , SrcType.imm, SrcType.DC, FuType.fmisc, FPUOpType.fmv_f2i, Y, N, N, N, N, N, N, SelImm.IMM_X), 212c2a8ae00SYikeZhou FMV_X_W -> List(SrcType.fp , SrcType.imm, SrcType.DC, FuType.fmisc, FPUOpType.fmv_f2i, Y, N, N, N, N, N, N, SelImm.IMM_X), 213c2a8ae00SYikeZhou FMV_W_X -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.i2f, FPUOpType.fmv_i2f, N, Y, N, N, N, N, N, SelImm.IMM_X), 214c2a8ae00SYikeZhou 215c2a8ae00SYikeZhou FSGNJ_S -> List(SrcType.fp, SrcType.fp, SrcType.DC, FuType.fmisc, FPUOpType.fsgnj, N, Y, N, N, N, N, Y, SelImm.IMM_X), 216c2a8ae00SYikeZhou FSGNJ_D -> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.fmisc, FPUOpType.fsgnj, N, Y, N, N, N, N, N, SelImm.IMM_X), 217c2a8ae00SYikeZhou FSGNJX_S-> List(SrcType.fp, SrcType.fp, SrcType.DC, FuType.fmisc, FPUOpType.fsgnjx, N, Y, N, N, N, N, Y, SelImm.IMM_X), 218c2a8ae00SYikeZhou FSGNJX_D-> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.fmisc, FPUOpType.fsgnjx, N, Y, N, N, N, N, N, SelImm.IMM_X), 219c2a8ae00SYikeZhou FSGNJN_S-> List(SrcType.fp, SrcType.fp, SrcType.DC, FuType.fmisc, FPUOpType.fsgnjn, N, Y, N, N, N, N, Y, SelImm.IMM_X), 220c2a8ae00SYikeZhou FSGNJN_D-> List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.fmisc, FPUOpType.fsgnjn, N, Y, N, N, N, N, N, SelImm.IMM_X), 2214d24c305SYikeZhou 2224d24c305SYikeZhou // FP to FP 223c2a8ae00SYikeZhou FCVT_S_D-> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.fmisc, FPUOpType.d2s, N, Y, N, N, N, N, Y, SelImm.IMM_X), 224c2a8ae00SYikeZhou FCVT_D_S-> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.fmisc, FPUOpType.s2d, N, Y, N, N, N, N, N, SelImm.IMM_X), 2254d24c305SYikeZhou 2264d24c305SYikeZhou // Int to FP 227c2a8ae00SYikeZhou FCVT_S_W-> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.i2f, FPUOpType.w2f, N, Y, N, N, N, N, Y, SelImm.IMM_X), 228c2a8ae00SYikeZhou FCVT_S_WU->List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.i2f, FPUOpType.wu2f, N, Y, N, N, N, N, Y, SelImm.IMM_X), 229c2a8ae00SYikeZhou FCVT_S_L-> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.i2f, FPUOpType.l2f, N, Y, N, N, N, N, Y, SelImm.IMM_X), 230c2a8ae00SYikeZhou FCVT_S_LU->List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.i2f, FPUOpType.lu2f, N, Y, N, N, N, N, Y, SelImm.IMM_X), 2314d24c305SYikeZhou 232c2a8ae00SYikeZhou FCVT_D_W-> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.i2f, FPUOpType.w2f, N, Y, N, N, N, N, N, SelImm.IMM_X), 233c2a8ae00SYikeZhou FCVT_D_WU->List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.i2f, FPUOpType.wu2f, N, Y, N, N, N, N, N, SelImm.IMM_X), 234c2a8ae00SYikeZhou FCVT_D_L-> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.i2f, FPUOpType.l2f, N, Y, N, N, N, N, N, SelImm.IMM_X), 235c2a8ae00SYikeZhou FCVT_D_LU->List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.i2f, FPUOpType.lu2f, N, Y, N, N, N, N, N, SelImm.IMM_X), 2364d24c305SYikeZhou 2374d24c305SYikeZhou // FP to Int 238c2a8ae00SYikeZhou FCVT_W_S-> List(SrcType.fp , SrcType.imm, SrcType.DC, FuType.fmisc, FPUOpType.f2w, Y, N, N, N, N, N, Y, SelImm.IMM_X), 239c2a8ae00SYikeZhou FCVT_WU_S->List(SrcType.fp , SrcType.imm, SrcType.DC, FuType.fmisc, FPUOpType.f2wu, Y, N, N, N, N, N, Y, SelImm.IMM_X), 240c2a8ae00SYikeZhou FCVT_L_S-> List(SrcType.fp , SrcType.imm, SrcType.DC, FuType.fmisc, FPUOpType.f2l, Y, N, N, N, N, N, Y, SelImm.IMM_X), 241c2a8ae00SYikeZhou FCVT_LU_S->List(SrcType.fp , SrcType.imm, SrcType.DC, FuType.fmisc, FPUOpType.f2lu, Y, N, N, N, N, N, Y, SelImm.IMM_X), 2424d24c305SYikeZhou 243c2a8ae00SYikeZhou FCVT_W_D-> List(SrcType.fp , SrcType.imm, SrcType.DC, FuType.fmisc, FPUOpType.f2w, Y, N, N, N, N, N, N, SelImm.IMM_X), 244c2a8ae00SYikeZhou FCVT_WU_D->List(SrcType.fp , SrcType.imm, SrcType.DC, FuType.fmisc, FPUOpType.f2wu, Y, N, N, N, N, N, N, SelImm.IMM_X), 245c2a8ae00SYikeZhou FCVT_L_D-> List(SrcType.fp , SrcType.imm, SrcType.DC, FuType.fmisc, FPUOpType.f2l, Y, N, N, N, N, N, N, SelImm.IMM_X), 246c2a8ae00SYikeZhou FCVT_LU_D->List(SrcType.fp , SrcType.imm, SrcType.DC, FuType.fmisc, FPUOpType.f2lu, Y, N, N, N, N, N, N, SelImm.IMM_X), 2474d24c305SYikeZhou 2484d24c305SYikeZhou // "fp_single" is used for wb_data formatting (and debugging) 249c2a8ae00SYikeZhou FEQ_S ->List(SrcType.fp , SrcType.fp, SrcType.DC, FuType.fmisc, FPUOpType.feq, Y, N, N, N, N, N, Y, SelImm.IMM_X), 250c2a8ae00SYikeZhou FLT_S ->List(SrcType.fp , SrcType.fp, SrcType.DC, FuType.fmisc, FPUOpType.flt, Y, N, N, N, N, N, Y, SelImm.IMM_X), 251c2a8ae00SYikeZhou FLE_S ->List(SrcType.fp , SrcType.fp, SrcType.DC, FuType.fmisc, FPUOpType.fle, Y, N, N, N, N, N, Y, SelImm.IMM_X), 2524d24c305SYikeZhou 253c2a8ae00SYikeZhou FEQ_D ->List(SrcType.fp , SrcType.fp, SrcType.DC, FuType.fmisc, FPUOpType.feq, Y, N, N, N, N, N, N, SelImm.IMM_X), 254c2a8ae00SYikeZhou FLT_D ->List(SrcType.fp , SrcType.fp, SrcType.DC, FuType.fmisc, FPUOpType.flt, Y, N, N, N, N, N, N, SelImm.IMM_X), 255c2a8ae00SYikeZhou FLE_D ->List(SrcType.fp , SrcType.fp, SrcType.DC, FuType.fmisc, FPUOpType.fle, Y, N, N, N, N, N, N, SelImm.IMM_X), 2564d24c305SYikeZhou 257c2a8ae00SYikeZhou FMIN_S ->List(SrcType.fp, SrcType.fp, SrcType.DC, FuType.fmisc, FPUOpType.fmin, N, Y, N, N, N, N, Y, SelImm.IMM_X), 258c2a8ae00SYikeZhou FMAX_S ->List(SrcType.fp, SrcType.fp, SrcType.DC, FuType.fmisc, FPUOpType.fmax, N, Y, N, N, N, N, Y, SelImm.IMM_X), 259c2a8ae00SYikeZhou FMIN_D ->List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.fmisc, FPUOpType.fmin, N, Y, N, N, N, N, N, SelImm.IMM_X), 260c2a8ae00SYikeZhou FMAX_D ->List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.fmisc, FPUOpType.fmax, N, Y, N, N, N, N, N, SelImm.IMM_X), 2614d24c305SYikeZhou 262c2a8ae00SYikeZhou FADD_S ->List(SrcType.fp, SrcType.fp, SrcType.DC, FuType.fmac, FPUOpType.fadd, N, Y, N, N, N, N, Y, SelImm.IMM_X), 263c2a8ae00SYikeZhou FSUB_S ->List(SrcType.fp, SrcType.fp, SrcType.DC, FuType.fmac, FPUOpType.fsub, N, Y, N, N, N, N, Y, SelImm.IMM_X), 264c2a8ae00SYikeZhou FMUL_S ->List(SrcType.fp, SrcType.fp, SrcType.DC, FuType.fmac, FPUOpType.fmul, N, Y, N, N, N, N, Y, SelImm.IMM_X), 265c2a8ae00SYikeZhou FADD_D ->List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.fmac, FPUOpType.fadd, N, Y, N, N, N, N, N, SelImm.IMM_X), 266c2a8ae00SYikeZhou FSUB_D ->List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.fmac, FPUOpType.fsub, N, Y, N, N, N, N, N, SelImm.IMM_X), 267c2a8ae00SYikeZhou FMUL_D ->List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.fmac, FPUOpType.fmul, N, Y, N, N, N, N, N, SelImm.IMM_X), 2684d24c305SYikeZhou 269c2a8ae00SYikeZhou FMADD_S ->List(SrcType.fp, SrcType.fp, SrcType.fp, FuType.fmac, FPUOpType.fmadd, N, Y, N, N, N, N, Y, SelImm.IMM_X), 270c2a8ae00SYikeZhou FMSUB_S ->List(SrcType.fp, SrcType.fp, SrcType.fp, FuType.fmac, FPUOpType.fmsub, N, Y, N, N, N, N, Y, SelImm.IMM_X), 271c2a8ae00SYikeZhou FNMADD_S ->List(SrcType.fp, SrcType.fp, SrcType.fp, FuType.fmac, FPUOpType.fnmadd, N, Y, N, N, N, N, Y, SelImm.IMM_X), 272c2a8ae00SYikeZhou FNMSUB_S ->List(SrcType.fp, SrcType.fp, SrcType.fp, FuType.fmac, FPUOpType.fnmsub, N, Y, N, N, N, N, Y, SelImm.IMM_X), 273c2a8ae00SYikeZhou FMADD_D ->List(SrcType.reg, SrcType.reg, SrcType.fp, FuType.fmac, FPUOpType.fmadd, N, Y, N, N, N, N, N, SelImm.IMM_X), 274c2a8ae00SYikeZhou FMSUB_D ->List(SrcType.reg, SrcType.reg, SrcType.fp, FuType.fmac, FPUOpType.fmsub, N, Y, N, N, N, N, N, SelImm.IMM_X), 275c2a8ae00SYikeZhou FNMADD_D ->List(SrcType.reg, SrcType.reg, SrcType.fp, FuType.fmac, FPUOpType.fnmadd, N, Y, N, N, N, N, N, SelImm.IMM_X), 276c2a8ae00SYikeZhou FNMSUB_D ->List(SrcType.reg, SrcType.reg, SrcType.fp, FuType.fmac, FPUOpType.fnmsub, N, Y, N, N, N, N, N, SelImm.IMM_X) 2774d24c305SYikeZhou ) 278be25371aSYikeZhou} 279be25371aSYikeZhou 280be25371aSYikeZhou/** 281be25371aSYikeZhou * FP Divide SquareRoot Constants 282be25371aSYikeZhou */ 283be25371aSYikeZhouobject FDivSqrtDecode extends DecodeConstants { 2844d24c305SYikeZhou val table: Array[(BitPat, List[BitPat])] = Array( 285c2a8ae00SYikeZhou FDIV_S ->List(SrcType.fp, SrcType.fp, SrcType.DC, FuType.fmisc, FPUOpType.fdiv, N, Y, N, N, N, N, Y, SelImm.IMM_X), 286c2a8ae00SYikeZhou FDIV_D ->List(SrcType.reg, SrcType.reg, SrcType.DC, FuType.fmisc, FPUOpType.fdiv, N, Y, N, N, N, N, N, SelImm.IMM_X), 287c2a8ae00SYikeZhou FSQRT_S ->List(SrcType.fp, SrcType.imm, SrcType.DC, FuType.fmisc, FPUOpType.fsqrt, N, Y, N, N, N, N, Y, SelImm.IMM_X), 288c2a8ae00SYikeZhou FSQRT_D ->List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.fmisc, FPUOpType.fsqrt, N, Y, N, N, N, N, N, SelImm.IMM_X) 2894d24c305SYikeZhou ) 290be25371aSYikeZhou} 291be25371aSYikeZhou 2924d24c305SYikeZhou/** 2934d24c305SYikeZhou * XiangShan Trap Decode constants 2944d24c305SYikeZhou */ 2954d24c305SYikeZhouobject XSTrapDecode extends DecodeConstants { 2964d24c305SYikeZhou // calculate as ADDI => addi zero, a0, 0 2974d24c305SYikeZhou // replace rs '?????' with '01010'(a0) in decode stage 2984d24c305SYikeZhou def lsrc1 = "b01010".U // $a0 2994d24c305SYikeZhou val table: Array[(BitPat, List[BitPat])] = Array( 300c2a8ae00SYikeZhou TRAP -> List(SrcType.reg, SrcType.imm, SrcType.DC, FuType.alu, ALUOpType.add, Y, N, Y, Y, Y, N, N, SelImm.IMM_I) 3014d24c305SYikeZhou ) 3024d24c305SYikeZhou} 303be25371aSYikeZhou 3044d24c305SYikeZhouclass RVCExpander extends XSModule { 3054d24c305SYikeZhou val io = IO(new Bundle { 3064d24c305SYikeZhou val in = Input(UInt(32.W)) 3074d24c305SYikeZhou val out = Output(new ExpandedInstruction) 3084d24c305SYikeZhou val rvc = Output(Bool()) 3094d24c305SYikeZhou }) 310be25371aSYikeZhou 3114d24c305SYikeZhou if (HasCExtension) { 3124d24c305SYikeZhou io.rvc := io.in(1,0) =/= 3.U 3134d24c305SYikeZhou io.out := new RVCDecoder(io.in, XLEN).decode 3144d24c305SYikeZhou } else { 3154d24c305SYikeZhou io.rvc := false.B 3164d24c305SYikeZhou io.out := new RVCDecoder(io.in, XLEN).passthrough 3174d24c305SYikeZhou } 3184d24c305SYikeZhou} 319be25371aSYikeZhou 320c2a8ae00SYikeZhouobject Imm32Gen { 321c2a8ae00SYikeZhou def apply(sel: UInt, inst: UInt) = { 322c2a8ae00SYikeZhou val sign = Mux(sel === SelImm.IMM_Z, 0.S, inst(31).asSInt) 323c2a8ae00SYikeZhou val b30_20 = Mux(sel === SelImm.IMM_U, inst(30,20).asSInt, sign) 324c2a8ae00SYikeZhou val b19_12 = Mux(sel =/= SelImm.IMM_U && sel =/= SelImm.IMM_UJ, sign, inst(19,12).asSInt) 325c2a8ae00SYikeZhou val b11 = Mux(sel === SelImm.IMM_U || sel === SelImm.IMM_Z, 0.S, 326c2a8ae00SYikeZhou Mux(sel === SelImm.IMM_UJ, inst(20).asSInt, 327c2a8ae00SYikeZhou Mux(sel === SelImm.IMM_SB, inst(7).asSInt, sign))) 328c2a8ae00SYikeZhou val b10_5 = Mux(sel === SelImm.IMM_U || sel === SelImm.IMM_Z, 0.U(1.W), inst(30,25)) 329c2a8ae00SYikeZhou val b4_1 = Mux(sel === SelImm.IMM_U, 0.U(1.W), 330c2a8ae00SYikeZhou Mux(sel === SelImm.IMM_S || sel === SelImm.IMM_SB, inst(11,8), 331c2a8ae00SYikeZhou Mux(sel === SelImm.IMM_Z, inst(19,16), inst(24,21)))) 332c2a8ae00SYikeZhou val b0 = Mux(sel === SelImm.IMM_S, inst(7), 333c2a8ae00SYikeZhou Mux(sel === SelImm.IMM_I, inst(20), 334c2a8ae00SYikeZhou Mux(sel === SelImm.IMM_Z, inst(15), 0.U(1.W)))) 335c2a8ae00SYikeZhou 336c2a8ae00SYikeZhou Cat(sign, b30_20, b19_12, b11, b10_5, b4_1, b0) 337c2a8ae00SYikeZhou } 338c2a8ae00SYikeZhou} 339c2a8ae00SYikeZhou 340be25371aSYikeZhou/** 341be25371aSYikeZhou * IO bundle for the Decode unit 342be25371aSYikeZhou */ 343be25371aSYikeZhouclass DecodeUnitIO extends XSBundle { 344be25371aSYikeZhou val enq = new Bundle { val ctrl_flow = Input(new CtrlFlow) } 345be25371aSYikeZhou val deq = new Bundle { val cf_ctrl = Output(new CfCtrl) } 346be25371aSYikeZhou} 347be25371aSYikeZhou 348be25371aSYikeZhou/** 349be25371aSYikeZhou * Decode unit that takes in a single CtrlFlow and generates a CfCtrl. 350be25371aSYikeZhou */ 351c2a8ae00SYikeZhouclass DecodeUnit extends XSModule with DecodeUnitConstants { 352be25371aSYikeZhou val io = IO(new DecodeUnitIO) 353be25371aSYikeZhou 3544d24c305SYikeZhou val ctrl_flow = Wire(new CtrlFlow) // input with RVC Expanded 3554d24c305SYikeZhou val cf_ctrl = Wire(new CfCtrl) 3564d24c305SYikeZhou 357c2a8ae00SYikeZhou val exp = Module(new RVCExpander()) 3584d24c305SYikeZhou exp.io.in := io.enq.ctrl_flow.instr 359be25371aSYikeZhou ctrl_flow := io.enq.ctrl_flow 3604d24c305SYikeZhou when (exp.io.rvc) { 3614d24c305SYikeZhou ctrl_flow.instr := exp.io.out.bits 3624d24c305SYikeZhou } 363be25371aSYikeZhou 3644d24c305SYikeZhou // save rvc decode info 365178dd38cSYikeZhou // TODO maybe rvc_info are useless? 3664d24c305SYikeZhou val rvc_info = Wire(new ExpandedInstruction()) 3674d24c305SYikeZhou val is_rvc = Wire(Bool()) 3684d24c305SYikeZhou rvc_info := exp.io.out 3694d24c305SYikeZhou is_rvc := exp.io.rvc 370be25371aSYikeZhou 3714d24c305SYikeZhou var decode_table = XDecode.table ++ FDecode.table ++ FDivSqrtDecode.table ++ X64Decode.table ++ XSTrapDecode.table 372be25371aSYikeZhou 3734d24c305SYikeZhou // output 3744d24c305SYikeZhou cf_ctrl.cf := ctrl_flow 3754d24c305SYikeZhou cf_ctrl.brTag := DontCare 3764d24c305SYikeZhou val cs = Wire(new CtrlSignals()).decode(ctrl_flow.instr, decode_table) 377be25371aSYikeZhou 378178dd38cSYikeZhou // read src1~3 location 379178dd38cSYikeZhou cs.lsrc1 := Mux(ctrl_flow.instr === LUI || cs.src1Type === SrcType.pc, 0.U, ctrl_flow.instr(RS1_MSB,RS1_LSB)) 3804d24c305SYikeZhou cs.lsrc2 := ctrl_flow.instr(RS2_MSB,RS2_LSB) 3814d24c305SYikeZhou cs.lsrc3 := ctrl_flow.instr(RS3_MSB,RS3_LSB) 382178dd38cSYikeZhou // read dest location 383178dd38cSYikeZhou cs.ldest := Mux(cs.fpWen || cs.rfWen, ctrl_flow.instr(RD_MSB,RD_LSB), 0.U) 3844d24c305SYikeZhou 385c2a8ae00SYikeZhou // fill in exception vector 386c2a8ae00SYikeZhou cf_ctrl.cf.exceptionVec.map(_ := false.B) 387389157b6SYikeZhou cf_ctrl.cf.exceptionVec(illegalInstr) := cs.selImm === SelImm.INVALID_INSTR 388c2a8ae00SYikeZhou cf_ctrl.cf.exceptionVec(instrPageFault) := io.enq.ctrl_flow.exceptionVec(instrPageFault) 389a6643285SYikeZhou cf_ctrl.cf.exceptionVec(instrAccessFault) := io.enq.ctrl_flow.exceptionVec(instrAccessFault) 3904d24c305SYikeZhou 391c2a8ae00SYikeZhou // fix frflags 392c2a8ae00SYikeZhou // fflags zero csrrs rd csr 393c2a8ae00SYikeZhou val isFrflags = BitPat("b000000000001_00000_010_?????_1110011") === ctrl_flow.instr 394c2a8ae00SYikeZhou when (cs.fuType === FuType.csr && isFrflags) { 395c2a8ae00SYikeZhou cs.blockBackward := false.B 396c2a8ae00SYikeZhou } 397c2a8ae00SYikeZhou 398c2a8ae00SYikeZhou // fix isXSTrap 399c2a8ae00SYikeZhou when (cs.isXSTrap) { 400c2a8ae00SYikeZhou cs.lsrc1 := XSTrapDecode.lsrc1 401c2a8ae00SYikeZhou } 402c2a8ae00SYikeZhou 403c2a8ae00SYikeZhou cs.imm := SignExt(Imm32Gen(cs.selImm, ctrl_flow.instr), XLEN) 4044d24c305SYikeZhou 4054d24c305SYikeZhou cf_ctrl.ctrl := cs 406c2a8ae00SYikeZhou 407c2a8ae00SYikeZhou // fix ret and call 408c2a8ae00SYikeZhou when (cs.fuType === FuType.jmp) { 409c2a8ae00SYikeZhou def isLink(reg: UInt) = (reg === 1.U || reg === 5.U) 410c2a8ae00SYikeZhou when (isLink(cs.ldest) && cs.fuOpType === JumpOpType.jal) { cf_ctrl.ctrl.fuOpType := JumpOpType.call } 411c2a8ae00SYikeZhou when (cs.fuOpType === JumpOpType.jalr) { 412c2a8ae00SYikeZhou when (isLink(cs.lsrc1)) { cf_ctrl.ctrl.fuOpType := JumpOpType.ret } 413c2a8ae00SYikeZhou when (isLink(cs.ldest)) { cf_ctrl.ctrl.fuOpType := JumpOpType.call } 414c2a8ae00SYikeZhou } 415c2a8ae00SYikeZhou } 416c2a8ae00SYikeZhou 4174d24c305SYikeZhou io.deq.cf_ctrl := cf_ctrl 418be25371aSYikeZhou 419be25371aSYikeZhou //------------------------------------------------------------- 420be25371aSYikeZhou // Debug Info 4214d24c305SYikeZhou XSDebug("in: instr=%x pc=%x excepVec=%b intrVec=%b crossPageIPFFix=%d\n", 4224d24c305SYikeZhou io.enq.ctrl_flow.instr, io.enq.ctrl_flow.pc, io.enq.ctrl_flow.exceptionVec.asUInt, 4234d24c305SYikeZhou io.enq.ctrl_flow.intrVec.asUInt, io.enq.ctrl_flow.crossPageIPFFix) 4244d24c305SYikeZhou XSDebug("out: src1Type=%b src2Type=%b src3Type=%b lsrc1=%d lsrc2=%d lsrc3=%d ldest=%d fuType=%b fuOpType=%b\n", 4254d24c305SYikeZhou io.deq.cf_ctrl.ctrl.src1Type, io.deq.cf_ctrl.ctrl.src2Type, io.deq.cf_ctrl.ctrl.src3Type, 4264d24c305SYikeZhou io.deq.cf_ctrl.ctrl.lsrc1, io.deq.cf_ctrl.ctrl.lsrc2, io.deq.cf_ctrl.ctrl.lsrc3, 4274d24c305SYikeZhou io.deq.cf_ctrl.ctrl.ldest, io.deq.cf_ctrl.ctrl.fuType, io.deq.cf_ctrl.ctrl.fuOpType) 4284d24c305SYikeZhou XSDebug("out: rfWen=%d fpWen=%d isXSTrap=%d noSpecExec=%d isBlocked=%d flushPipe=%d isRVF=%d imm=%x\n", 4294d24c305SYikeZhou io.deq.cf_ctrl.ctrl.rfWen, io.deq.cf_ctrl.ctrl.fpWen, io.deq.cf_ctrl.ctrl.isXSTrap, 4304d24c305SYikeZhou io.deq.cf_ctrl.ctrl.noSpecExec, io.deq.cf_ctrl.ctrl.blockBackward, io.deq.cf_ctrl.ctrl.flushPipe, 4314d24c305SYikeZhou io.deq.cf_ctrl.ctrl.isRVF, io.deq.cf_ctrl.ctrl.imm) 432*1a682360SYikeZhou XSDebug("out: excepVec=%b intrVec=%b\n", 433*1a682360SYikeZhou io.deq.cf_ctrl.cf.exceptionVec.asUInt, io.deq.cf_ctrl.cf.intrVec.asUInt) 434be25371aSYikeZhou} 435