1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan.backend 18 19import chipsalliance.rocketchip.config.Parameters 20import chisel3._ 21import chisel3.util._ 22import freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 23import utils._ 24import utility._ 25import xiangshan._ 26import xiangshan.backend.decode.{DecodeStage, FusionDecoder, ImmUnion} 27import xiangshan.backend.dispatch._ 28import xiangshan.backend.fu.PFEvent 29import xiangshan.backend.rename.{Rename, RenameTableWrapper} 30import xiangshan.backend.rob._ 31import xiangshan.frontend.{FtqPtr, FtqRead, Ftq_RF_Components} 32import xiangshan.mem.mdp.{LFST, SSIT, WaitTable} 33import xiangshan.ExceptionNO._ 34import xiangshan.backend.exu.ExuConfig 35import xiangshan.mem.{LsqEnqCtrl, LsqEnqIO} 36 37class CtrlToFtqIO(implicit p: Parameters) extends XSBundle { 38 def numRedirect = exuParameters.JmpCnt + exuParameters.AluCnt 39 val rob_commits = Vec(CommitWidth, Valid(new RobCommitInfo)) 40 val redirect = Valid(new Redirect) 41} 42 43class SnapshotPtr(implicit p: Parameters) extends CircularQueuePtr[SnapshotPtr]( 44 p => p(XSCoreParamsKey).RenameSnapshotNum 45) 46 47object SnapshotGenerator extends HasCircularQueuePtrHelper { 48 def apply[T <: Data](enqData: T, enq: Bool, deq: Bool, flush: Bool)(implicit p: Parameters): Vec[T] = { 49 val snapshotGen = Module(new SnapshotGenerator(enqData)) 50 snapshotGen.io.enq := enq 51 snapshotGen.io.enqData.head := enqData 52 snapshotGen.io.deq := deq 53 snapshotGen.io.flush := flush 54 snapshotGen.io.snapshots 55 } 56} 57 58class SnapshotGenerator[T <: Data](dataType: T)(implicit p: Parameters) extends XSModule 59 with HasCircularQueuePtrHelper { 60 61 class SnapshotGeneratorIO extends Bundle { 62 val enq = Input(Bool()) 63 val enqData = Input(Vec(1, chiselTypeOf(dataType))) // make chisel happy 64 val deq = Input(Bool()) 65 val flush = Input(Bool()) 66 val snapshots = Output(Vec(RenameSnapshotNum, chiselTypeOf(dataType))) 67 val enqPtr = Output(new SnapshotPtr) 68 val deqPtr = Output(new SnapshotPtr) 69 val valids = Output(Vec(RenameSnapshotNum, Bool())) 70 } 71 72 val io = IO(new SnapshotGeneratorIO) 73 74 val snapshots = Reg(Vec(RenameSnapshotNum, chiselTypeOf(dataType))) 75 val snptEnqPtr = RegInit(0.U.asTypeOf(new SnapshotPtr)) 76 val snptDeqPtr = RegInit(0.U.asTypeOf(new SnapshotPtr)) 77 val snptValids = RegInit(VecInit.fill(RenameSnapshotNum)(false.B)) 78 79 io.snapshots := snapshots 80 io.enqPtr := snptEnqPtr 81 io.deqPtr := snptDeqPtr 82 io.valids := snptValids 83 84 when(!isFull(snptEnqPtr, snptDeqPtr) && io.enq) { 85 snapshots(snptEnqPtr.value) := io.enqData.head 86 snptValids(snptEnqPtr.value) := true.B 87 snptEnqPtr := snptEnqPtr + 1.U 88 } 89 when(io.deq) { 90 snptValids(snptDeqPtr.value) := false.B 91 snptDeqPtr := snptDeqPtr + 1.U 92 XSError(isEmpty(snptEnqPtr, snptDeqPtr), "snapshots should not be empty when dequeue!\n") 93 } 94 when(io.flush) { 95 snptValids := 0.U.asTypeOf(snptValids) 96 snptEnqPtr := 0.U.asTypeOf(new SnapshotPtr) 97 snptDeqPtr := 0.U.asTypeOf(new SnapshotPtr) 98 } 99} 100 101class RedirectGenerator(implicit p: Parameters) extends XSModule 102 with HasCircularQueuePtrHelper { 103 104 class RedirectGeneratorIO(implicit p: Parameters) extends XSBundle { 105 def numRedirect = exuParameters.JmpCnt + exuParameters.AluCnt 106 val hartId = Input(UInt(8.W)) 107 val exuMispredict = Vec(numRedirect, Flipped(ValidIO(new ExuOutput))) 108 val loadReplay = Flipped(ValidIO(new Redirect)) 109 val flush = Input(Bool()) 110 val redirectPcRead = new FtqRead(UInt(VAddrBits.W)) 111 val stage2Redirect = ValidIO(new Redirect) 112 val stage3Redirect = ValidIO(new Redirect) 113 val memPredUpdate = Output(new MemPredUpdateReq) 114 val memPredPcRead = new FtqRead(UInt(VAddrBits.W)) // read req send form stage 2 115 val isMisspreRedirect = Output(Bool()) 116 } 117 val io = IO(new RedirectGeneratorIO) 118 /* 119 LoadQueue Jump ALU0 ALU1 ALU2 ALU3 exception Stage1 120 | | | | | | | 121 |============= reg & compare =====| | ======== 122 | | 123 | | 124 | | Stage2 125 | | 126 redirect (flush backend) | 127 | | 128 === reg === | ======== 129 | | 130 |----- mux (exception first) -----| Stage3 131 | 132 redirect (send to frontend) 133 */ 134 def selectOldestRedirect(xs: Seq[Valid[Redirect]]): Vec[Bool] = { 135 val compareVec = (0 until xs.length).map(i => (0 until i).map(j => isAfter(xs(j).bits.robIdx, xs(i).bits.robIdx))) 136 val resultOnehot = VecInit((0 until xs.length).map(i => Cat((0 until xs.length).map(j => 137 (if (j < i) !xs(j).valid || compareVec(i)(j) 138 else if (j == i) xs(i).valid 139 else !xs(j).valid || !compareVec(j)(i)) 140 )).andR)) 141 resultOnehot 142 } 143 144 def getRedirect(exuOut: Valid[ExuOutput]): ValidIO[Redirect] = { 145 val redirect = Wire(Valid(new Redirect)) 146 redirect.valid := exuOut.valid && exuOut.bits.redirect.cfiUpdate.isMisPred 147 redirect.bits := exuOut.bits.redirect 148 redirect.bits.debugIsCtrl := true.B 149 redirect.bits.debugIsMemVio := false.B 150 redirect 151 } 152 153 val jumpOut = io.exuMispredict.head 154 val allRedirect = VecInit(io.exuMispredict.map(x => getRedirect(x)) :+ io.loadReplay) 155 val oldestOneHot = selectOldestRedirect(allRedirect) 156 val needFlushVec = VecInit(allRedirect.map(_.bits.robIdx.needFlush(io.stage2Redirect) || io.flush)) 157 val oldestValid = VecInit(oldestOneHot.zip(needFlushVec).map{ case (v, f) => v && !f }).asUInt.orR 158 val oldestExuOutput = Mux1H(io.exuMispredict.indices.map(oldestOneHot), io.exuMispredict) 159 val oldestRedirect = Mux1H(oldestOneHot, allRedirect) 160 io.isMisspreRedirect := VecInit(io.exuMispredict.map(x => getRedirect(x).valid)).asUInt.orR 161 io.redirectPcRead.ptr := oldestRedirect.bits.ftqIdx 162 io.redirectPcRead.offset := oldestRedirect.bits.ftqOffset 163 164 val s1_jumpTarget = RegEnable(jumpOut.bits.redirect.cfiUpdate.target, jumpOut.valid) 165 val s1_imm12_reg = RegNext(oldestExuOutput.bits.uop.ctrl.imm(11, 0)) 166 val s1_pd = RegNext(oldestExuOutput.bits.uop.cf.pd) 167 val s1_redirect_bits_reg = RegNext(oldestRedirect.bits) 168 val s1_redirect_valid_reg = RegNext(oldestValid) 169 val s1_redirect_onehot = RegNext(oldestOneHot) 170 171 // stage1 -> stage2 172 io.stage2Redirect.valid := s1_redirect_valid_reg && !io.flush 173 io.stage2Redirect.bits := s1_redirect_bits_reg 174 175 val s1_isReplay = s1_redirect_onehot.last 176 val s1_isJump = s1_redirect_onehot.head 177 val real_pc = io.redirectPcRead.data 178 val brTarget = real_pc + SignExt(ImmUnion.B.toImm32(s1_imm12_reg), XLEN) 179 val snpc = real_pc + Mux(s1_pd.isRVC, 2.U, 4.U) 180 val target = Mux(s1_isReplay, 181 real_pc, // replay from itself 182 Mux(s1_redirect_bits_reg.cfiUpdate.taken, 183 Mux(s1_isJump, s1_jumpTarget, brTarget), 184 snpc 185 ) 186 ) 187 188 val stage2CfiUpdate = io.stage2Redirect.bits.cfiUpdate 189 stage2CfiUpdate.pc := real_pc 190 stage2CfiUpdate.pd := s1_pd 191 // stage2CfiUpdate.predTaken := s1_redirect_bits_reg.cfiUpdate.predTaken 192 stage2CfiUpdate.target := target 193 // stage2CfiUpdate.taken := s1_redirect_bits_reg.cfiUpdate.taken 194 // stage2CfiUpdate.isMisPred := s1_redirect_bits_reg.cfiUpdate.isMisPred 195 196 val s2_target = RegEnable(target, s1_redirect_valid_reg) 197 val s2_pc = RegEnable(real_pc, s1_redirect_valid_reg) 198 val s2_redirect_bits_reg = RegEnable(s1_redirect_bits_reg, s1_redirect_valid_reg) 199 val s2_redirect_valid_reg = RegNext(s1_redirect_valid_reg && !io.flush, init = false.B) 200 201 io.stage3Redirect.valid := s2_redirect_valid_reg 202 io.stage3Redirect.bits := s2_redirect_bits_reg 203 204 // get pc from ftq 205 // valid only if redirect is caused by load violation 206 // store_pc is used to update store set 207 val store_pc = io.memPredPcRead(s1_redirect_bits_reg.stFtqIdx, s1_redirect_bits_reg.stFtqOffset) 208 209 // update load violation predictor if load violation redirect triggered 210 io.memPredUpdate.valid := RegNext(s1_isReplay && s1_redirect_valid_reg, init = false.B) 211 // update wait table 212 io.memPredUpdate.waddr := RegNext(XORFold(real_pc(VAddrBits-1, 1), MemPredPCWidth)) 213 io.memPredUpdate.wdata := true.B 214 // update store set 215 io.memPredUpdate.ldpc := RegNext(XORFold(real_pc(VAddrBits-1, 1), MemPredPCWidth)) 216 // store pc is ready 1 cycle after s1_isReplay is judged 217 io.memPredUpdate.stpc := XORFold(store_pc(VAddrBits-1, 1), MemPredPCWidth) 218} 219 220class CtrlBlock(dpExuConfigs: Seq[Seq[Seq[ExuConfig]]])(implicit p: Parameters) extends LazyModule 221 with HasWritebackSink with HasWritebackSource { 222 val rob = LazyModule(new Rob) 223 224 override def addWritebackSink(source: Seq[HasWritebackSource], index: Option[Seq[Int]]): HasWritebackSink = { 225 rob.addWritebackSink(Seq(this), Some(Seq(writebackSinks.length))) 226 super.addWritebackSink(source, index) 227 } 228 229 // duplicated dispatch2 here to avoid cross-module timing path loop. 230 val dispatch2 = dpExuConfigs.map(c => LazyModule(new Dispatch2Rs(c))) 231 lazy val module = new CtrlBlockImp(this) 232 233 override lazy val writebackSourceParams: Seq[WritebackSourceParams] = { 234 writebackSinksParams 235 } 236 override lazy val writebackSourceImp: HasWritebackSourceImp = module 237 238 override def generateWritebackIO( 239 thisMod: Option[HasWritebackSource] = None, 240 thisModImp: Option[HasWritebackSourceImp] = None 241 ): Unit = { 242 module.io.writeback.zip(writebackSinksImp(thisMod, thisModImp)).foreach(x => x._1 := x._2) 243 } 244} 245 246class CtrlBlockImp(outer: CtrlBlock)(implicit p: Parameters) extends LazyModuleImp(outer) 247 with HasXSParameter 248 with HasCircularQueuePtrHelper 249 with HasWritebackSourceImp 250 with HasPerfEvents 251{ 252 val writebackLengths = outer.writebackSinksParams.map(_.length) 253 254 val io = IO(new Bundle { 255 val hartId = Input(UInt(8.W)) 256 val cpu_halt = Output(Bool()) 257 val frontend = Flipped(new FrontendToCtrlIO) 258 // to exu blocks 259 val allocPregs = Vec(RenameWidth, Output(new ResetPregStateReq)) 260 val dispatch = Vec(3*dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp)) 261 val rsReady = Vec(outer.dispatch2.map(_.module.io.out.length).sum, Input(Bool())) 262 val enqLsq = Flipped(new LsqEnqIO) 263 val lqCancelCnt = Input(UInt(log2Up(VirtualLoadQueueSize + 1).W)) 264 val sqCancelCnt = Input(UInt(log2Up(StoreQueueSize + 1).W)) 265 val lqDeq = Input(UInt(log2Up(CommitWidth + 1).W)) 266 val sqDeq = Input(UInt(log2Ceil(EnsbufferWidth + 1).W)) 267 val sqCanAccept = Input(Bool()) 268 val lqCanAccept = Input(Bool()) 269 val ld_pc_read = Vec(exuParameters.LduCnt, Flipped(new FtqRead(UInt(VAddrBits.W)))) 270 val st_pc_read = Vec(exuParameters.StuCnt, Flipped(new FtqRead(UInt(VAddrBits.W)))) 271 // from int block 272 val exuRedirect = Vec(exuParameters.AluCnt + exuParameters.JmpCnt, Flipped(ValidIO(new ExuOutput))) 273 val stIn = Vec(exuParameters.StuCnt, Flipped(ValidIO(new ExuInput))) 274 val memoryViolation = Flipped(ValidIO(new Redirect)) 275 val jumpPc = Output(UInt(VAddrBits.W)) 276 val jalr_target = Output(UInt(VAddrBits.W)) 277 val robio = new Bundle { 278 // to int block 279 val toCSR = new RobCSRIO 280 val exception = ValidIO(new ExceptionInfo) 281 // to mem block 282 val lsq = new RobLsqIO 283 // debug 284 val debug_ls = Flipped(new DebugLSIO) 285 val lsTopdownInfo = Vec(exuParameters.LduCnt, Input(new LsTopdownInfo)) 286 } 287 val csrCtrl = Input(new CustomCSRCtrlIO) 288 val perfInfo = Output(new Bundle{ 289 val ctrlInfo = new Bundle { 290 val robFull = Input(Bool()) 291 val intdqFull = Input(Bool()) 292 val fpdqFull = Input(Bool()) 293 val lsdqFull = Input(Bool()) 294 } 295 }) 296 val writeback = MixedVec(writebackLengths.map(num => Vec(num, Flipped(ValidIO(new ExuOutput))))) 297 // redirect out 298 val redirect = ValidIO(new Redirect) 299 // debug 300 val debug_int_rat = Vec(32, Output(UInt(PhyRegIdxWidth.W))) 301 val debug_fp_rat = Vec(32, Output(UInt(PhyRegIdxWidth.W))) 302 val robDeqPtr = Output(new RobPtr) 303 val robHeadLsIssue = Input(Bool()) 304 val debugTopDown = new Bundle { 305 val fromRob = new RobCoreTopDownIO 306 val fromCore = new CoreDispatchTopDownIO 307 } 308 }) 309 310 override def writebackSource: Option[Seq[Seq[Valid[ExuOutput]]]] = { 311 Some(io.writeback.map(writeback => { 312 val exuOutput = WireInit(writeback) 313 val timer = GTimer() 314 for ((wb_next, wb) <- exuOutput.zip(writeback)) { 315 wb_next.valid := RegNext(wb.valid && !wb.bits.uop.robIdx.needFlush(Seq(stage2Redirect, redirectForExu))) 316 wb_next.bits := RegNext(wb.bits) 317 wb_next.bits.uop.debugInfo.writebackTime := timer 318 } 319 exuOutput 320 })) 321 } 322 323 val decode = Module(new DecodeStage) 324 val fusionDecoder = Module(new FusionDecoder) 325 val rat = Module(new RenameTableWrapper) 326 val ssit = Module(new SSIT) 327 val waittable = Module(new WaitTable) 328 val rename = Module(new Rename) 329 val dispatch = Module(new Dispatch) 330 val intDq = Module(new DispatchQueue(dpParams.IntDqSize, RenameWidth, dpParams.IntDqDeqWidth)) 331 val fpDq = Module(new DispatchQueue(dpParams.FpDqSize, RenameWidth, dpParams.FpDqDeqWidth)) 332 val lsDq = Module(new DispatchQueue(dpParams.LsDqSize, RenameWidth, dpParams.LsDqDeqWidth)) 333 val redirectGen = Module(new RedirectGenerator) 334 val rob = outer.rob.module 335 336 // jumpPc (2) + redirects (1) + loadPredUpdate (1) + jalr_target (1) + [ld pc (LduCnt)] + robWriteback (sum(writebackLengths)) + robFlush (1) 337 val PCMEMIDX_LD = 5 338 val PCMEMIDX_ST = PCMEMIDX_LD + exuParameters.LduCnt 339 val PCMEM_READ_PORT_COUNT = if(EnableStorePrefetchSMS) 6 + exuParameters.LduCnt + exuParameters.StuCnt else 6 + exuParameters.LduCnt 340 val pcMem = Module(new SyncDataModuleTemplate( 341 new Ftq_RF_Components, FtqSize, 342 PCMEM_READ_PORT_COUNT, 1, "CtrlPcMem") 343 ) 344 pcMem.io.wen.head := RegNext(io.frontend.fromFtq.pc_mem_wen) 345 pcMem.io.waddr.head := RegNext(io.frontend.fromFtq.pc_mem_waddr) 346 pcMem.io.wdata.head := RegNext(io.frontend.fromFtq.pc_mem_wdata) 347 348 pcMem.io.raddr.last := rob.io.flushOut.bits.ftqIdx.value 349 val flushPC = pcMem.io.rdata.last.getPc(RegNext(rob.io.flushOut.bits.ftqOffset)) 350 351 val flushRedirect = Wire(Valid(new Redirect)) 352 flushRedirect.valid := RegNext(rob.io.flushOut.valid) 353 flushRedirect.bits := RegEnable(rob.io.flushOut.bits, rob.io.flushOut.valid) 354 flushRedirect.bits.debugIsCtrl := false.B 355 flushRedirect.bits.debugIsMemVio := false.B 356 357 val flushRedirectReg = Wire(Valid(new Redirect)) 358 flushRedirectReg.valid := RegNext(flushRedirect.valid, init = false.B) 359 flushRedirectReg.bits := RegEnable(flushRedirect.bits, flushRedirect.valid) 360 361 val stage2Redirect = Mux(flushRedirect.valid, flushRedirect, redirectGen.io.stage2Redirect) 362 // Redirect will be RegNext at ExuBlocks. 363 val redirectForExu = RegNextWithEnable(stage2Redirect) 364 365 val exuRedirect = io.exuRedirect.map(x => { 366 val valid = x.valid && x.bits.redirectValid 367 val killedByOlder = x.bits.uop.robIdx.needFlush(Seq(stage2Redirect, redirectForExu)) 368 val delayed = Wire(Valid(new ExuOutput)) 369 delayed.valid := RegNext(valid && !killedByOlder, init = false.B) 370 delayed.bits := RegEnable(x.bits, x.valid) 371 delayed 372 }) 373 val loadReplay = Wire(Valid(new Redirect)) 374 loadReplay.valid := RegNext(io.memoryViolation.valid && 375 !io.memoryViolation.bits.robIdx.needFlush(Seq(stage2Redirect, redirectForExu)), 376 init = false.B 377 ) 378 val memVioBits = WireDefault(io.memoryViolation.bits) 379 memVioBits.debugIsCtrl := false.B 380 memVioBits.debugIsMemVio := true.B 381 loadReplay.bits := RegEnable(memVioBits, io.memoryViolation.valid) 382 pcMem.io.raddr(2) := redirectGen.io.redirectPcRead.ptr.value 383 redirectGen.io.redirectPcRead.data := pcMem.io.rdata(2).getPc(RegNext(redirectGen.io.redirectPcRead.offset)) 384 pcMem.io.raddr(3) := redirectGen.io.memPredPcRead.ptr.value 385 redirectGen.io.memPredPcRead.data := pcMem.io.rdata(3).getPc(RegNext(redirectGen.io.memPredPcRead.offset)) 386 redirectGen.io.hartId := io.hartId 387 redirectGen.io.exuMispredict <> exuRedirect 388 redirectGen.io.loadReplay <> loadReplay 389 redirectGen.io.flush := flushRedirect.valid 390 391 val frontendFlushValid = DelayN(flushRedirect.valid, 5) 392 val frontendFlushBits = RegEnable(flushRedirect.bits, flushRedirect.valid) 393 // When ROB commits an instruction with a flush, we notify the frontend of the flush without the commit. 394 // Flushes to frontend may be delayed by some cycles and commit before flush causes errors. 395 // Thus, we make all flush reasons to behave the same as exceptions for frontend. 396 for (i <- 0 until CommitWidth) { 397 // why flushOut: instructions with flushPipe are not commited to frontend 398 // If we commit them to frontend, it will cause flush after commit, which is not acceptable by frontend. 399 val is_commit = rob.io.commits.commitValid(i) && rob.io.commits.isCommit && !rob.io.flushOut.valid 400 io.frontend.toFtq.rob_commits(i).valid := RegNext(is_commit) 401 io.frontend.toFtq.rob_commits(i).bits := RegEnable(rob.io.commits.info(i), is_commit) 402 } 403 io.frontend.toFtq.redirect.valid := frontendFlushValid || redirectGen.io.stage2Redirect.valid 404 io.frontend.toFtq.redirect.bits := Mux(frontendFlushValid, frontendFlushBits, redirectGen.io.stage2Redirect.bits) 405 // Be careful here: 406 // T0: flushRedirect.valid, exception.valid 407 // T1: csr.redirect.valid 408 // T2: csr.exception.valid 409 // T3: csr.trapTarget 410 // T4: ctrlBlock.trapTarget 411 // T5: io.frontend.toFtq.stage2Redirect.valid 412 val pc_from_csr = io.robio.toCSR.isXRet || DelayN(rob.io.exception.valid, 4) 413 val rob_flush_pc = RegEnable(Mux(flushRedirect.bits.flushItself(), 414 flushPC, // replay inst 415 flushPC + Mux(flushRedirect.bits.isRVC, 2.U, 4.U) // flush pipe 416 ), flushRedirect.valid) 417 val flushTarget = Mux(pc_from_csr, io.robio.toCSR.trapTarget, rob_flush_pc) 418 when (frontendFlushValid) { 419 io.frontend.toFtq.redirect.bits.level := RedirectLevel.flush 420 io.frontend.toFtq.redirect.bits.cfiUpdate.target := RegNext(flushTarget) 421 } 422 423 424 val pendingRedirect = RegInit(false.B) 425 when (stage2Redirect.valid) { 426 pendingRedirect := true.B 427 }.elsewhen (RegNext(io.frontend.toFtq.redirect.valid)) { 428 pendingRedirect := false.B 429 } 430 431 decode.io.in <> io.frontend.cfVec 432 decode.io.stallReason.in <> io.frontend.stallReason 433 decode.io.csrCtrl := RegNext(io.csrCtrl) 434 decode.io.intRat <> rat.io.intReadPorts 435 decode.io.fpRat <> rat.io.fpReadPorts 436 437 // memory dependency predict 438 // when decode, send fold pc to mdp 439 for (i <- 0 until DecodeWidth) { 440 val mdp_foldpc = Mux( 441 decode.io.out(i).fire, 442 decode.io.in(i).bits.foldpc, 443 rename.io.in(i).bits.cf.foldpc 444 ) 445 ssit.io.raddr(i) := mdp_foldpc 446 waittable.io.raddr(i) := mdp_foldpc 447 } 448 // currently, we only update mdp info when isReplay 449 ssit.io.update <> RegNext(redirectGen.io.memPredUpdate) 450 ssit.io.csrCtrl := RegNext(io.csrCtrl) 451 waittable.io.update <> RegNext(redirectGen.io.memPredUpdate) 452 waittable.io.csrCtrl := RegNext(io.csrCtrl) 453 454 // snapshot check 455 val snpt = Module(new SnapshotGenerator(rename.io.out.head.bits.robIdx)) 456 snpt.io.enq := rename.io.out.head.bits.snapshot && rename.io.out.head.fire 457 snpt.io.enqData.head := rename.io.out.head.bits.robIdx 458 snpt.io.deq := snpt.io.valids(snpt.io.deqPtr.value) && rob.io.commits.isCommit && 459 Cat(rob.io.commits.commitValid.zip(rob.io.commits.robIdx).map(x => x._1 && x._2 === snpt.io.snapshots(snpt.io.deqPtr.value))).orR 460 snpt.io.flush := stage2Redirect.valid 461 462 val useSnpt = VecInit.tabulate(RenameSnapshotNum)(idx => 463 snpt.io.valids(idx) && stage2Redirect.bits.robIdx >= snpt.io.snapshots(idx)).reduceTree(_ || _) 464 val snptSelect = MuxCase(0.U(log2Ceil(RenameSnapshotNum).W), 465 (1 to RenameSnapshotNum).map(i => (snpt.io.enqPtr - i.U).value).map(idx => 466 (snpt.io.valids(idx) && stage2Redirect.bits.robIdx >= snpt.io.snapshots(idx), idx) 467 )) 468 469 rob.io.snpt.snptEnq := DontCare 470 rob.io.snpt.snptDeq := snpt.io.deq 471 rob.io.snpt.useSnpt := useSnpt 472 rob.io.snpt.snptSelect := snptSelect 473 rat.io.snpt.snptEnq := rename.io.out.head.bits.snapshot && rename.io.out.head.fire 474 rat.io.snpt.snptDeq := snpt.io.deq 475 rat.io.snpt.useSnpt := useSnpt 476 rat.io.snpt.snptSelect := snptSelect 477 rename.io.snpt.snptEnq := DontCare 478 rename.io.snpt.snptDeq := snpt.io.deq 479 rename.io.snpt.useSnpt := useSnpt 480 rename.io.snpt.snptSelect := snptSelect 481 482 // prevent rob from generating snapshot when full here 483 val renameOut = Wire(chiselTypeOf(rename.io.out)) 484 renameOut <> rename.io.out 485 when(isFull(snpt.io.enqPtr, snpt.io.deqPtr)) { 486 renameOut.head.bits.snapshot := false.B 487 } 488 489 // LFST lookup and update 490 dispatch.io.lfst := DontCare 491 if (LFSTEnable) { 492 val lfst = Module(new LFST) 493 lfst.io.redirect <> RegNext(io.redirect) 494 lfst.io.storeIssue <> RegNext(io.stIn) 495 lfst.io.csrCtrl <> RegNext(io.csrCtrl) 496 lfst.io.dispatch <> dispatch.io.lfst 497 } 498 499 500 rat.io.redirect := stage2Redirect.valid 501 rat.io.robCommits := rob.io.commits 502 rat.io.intRenamePorts := rename.io.intRenamePorts 503 rat.io.fpRenamePorts := rename.io.fpRenamePorts 504 rat.io.debug_int_rat <> io.debug_int_rat 505 rat.io.debug_fp_rat <> io.debug_fp_rat 506 507 // pipeline between decode and rename 508 for (i <- 0 until RenameWidth) { 509 // fusion decoder 510 val decodeHasException = io.frontend.cfVec(i).bits.exceptionVec(instrPageFault) || io.frontend.cfVec(i).bits.exceptionVec(instrAccessFault) 511 val disableFusion = decode.io.csrCtrl.singlestep || !decode.io.csrCtrl.fusion_enable 512 fusionDecoder.io.in(i).valid := io.frontend.cfVec(i).valid && !(decodeHasException || disableFusion) 513 fusionDecoder.io.in(i).bits := io.frontend.cfVec(i).bits.instr 514 if (i > 0) { 515 fusionDecoder.io.inReady(i - 1) := decode.io.out(i).ready 516 } 517 518 // Pipeline 519 val renamePipe = PipelineNext(decode.io.out(i), rename.io.in(i).ready, 520 stage2Redirect.valid || pendingRedirect) 521 renamePipe.ready := rename.io.in(i).ready 522 rename.io.in(i).valid := renamePipe.valid && !fusionDecoder.io.clear(i) 523 rename.io.in(i).bits := renamePipe.bits 524 rename.io.intReadPorts(i) := rat.io.intReadPorts(i).map(_.data) 525 rename.io.fpReadPorts(i) := rat.io.fpReadPorts(i).map(_.data) 526 rename.io.waittable(i) := RegEnable(waittable.io.rdata(i), decode.io.out(i).fire) 527 528 if (i < RenameWidth - 1) { 529 // fusion decoder sees the raw decode info 530 fusionDecoder.io.dec(i) := renamePipe.bits.ctrl 531 rename.io.fusionInfo(i) := fusionDecoder.io.info(i) 532 533 // update the first RenameWidth - 1 instructions 534 decode.io.fusion(i) := fusionDecoder.io.out(i).valid && rename.io.out(i).fire 535 when (fusionDecoder.io.out(i).valid) { 536 fusionDecoder.io.out(i).bits.update(rename.io.in(i).bits.ctrl) 537 // TODO: remove this dirty code for ftq update 538 val sameFtqPtr = rename.io.in(i).bits.cf.ftqPtr.value === rename.io.in(i + 1).bits.cf.ftqPtr.value 539 val ftqOffset0 = rename.io.in(i).bits.cf.ftqOffset 540 val ftqOffset1 = rename.io.in(i + 1).bits.cf.ftqOffset 541 val ftqOffsetDiff = ftqOffset1 - ftqOffset0 542 val cond1 = sameFtqPtr && ftqOffsetDiff === 1.U 543 val cond2 = sameFtqPtr && ftqOffsetDiff === 2.U 544 val cond3 = !sameFtqPtr && ftqOffset1 === 0.U 545 val cond4 = !sameFtqPtr && ftqOffset1 === 1.U 546 rename.io.in(i).bits.ctrl.commitType := Mux(cond1, 4.U, Mux(cond2, 5.U, Mux(cond3, 6.U, 7.U))) 547 XSError(!cond1 && !cond2 && !cond3 && !cond4, p"new condition $sameFtqPtr $ftqOffset0 $ftqOffset1\n") 548 } 549 } 550 } 551 552 rename.io.redirect := stage2Redirect 553 rename.io.robCommits <> rob.io.commits 554 rename.io.ssit <> ssit.io.rdata 555 rename.io.int_need_free := rat.io.int_need_free 556 rename.io.int_old_pdest := rat.io.int_old_pdest 557 rename.io.fp_old_pdest := rat.io.fp_old_pdest 558 rename.io.debug_int_rat <> rat.io.debug_int_rat 559 rename.io.debug_fp_rat <> rat.io.debug_fp_rat 560 rename.io.stallReason.in <> decode.io.stallReason.out 561 562 // pipeline between rename and dispatch 563 for (i <- 0 until RenameWidth) { 564 PipelineConnect(renameOut(i), dispatch.io.fromRename(i), dispatch.io.recv(i), stage2Redirect.valid) 565 } 566 567 dispatch.io.hartId := io.hartId 568 dispatch.io.redirect := stage2Redirect 569 dispatch.io.enqRob <> rob.io.enq 570 dispatch.io.toIntDq <> intDq.io.enq 571 dispatch.io.toFpDq <> fpDq.io.enq 572 dispatch.io.toLsDq <> lsDq.io.enq 573 dispatch.io.allocPregs <> io.allocPregs 574 dispatch.io.robHead := rob.io.debugRobHead 575 dispatch.io.stallReason <> rename.io.stallReason.out 576 dispatch.io.lqCanAccept := io.lqCanAccept 577 dispatch.io.sqCanAccept := io.sqCanAccept 578 dispatch.io.robHeadNotReady := rob.io.headNotReady 579 dispatch.io.robFull := rob.io.robFull 580 dispatch.io.singleStep := RegNext(io.csrCtrl.singlestep) 581 582 intDq.io.redirect <> redirectForExu 583 fpDq.io.redirect <> redirectForExu 584 lsDq.io.redirect <> redirectForExu 585 586 val dpqOut = intDq.io.deq ++ lsDq.io.deq ++ fpDq.io.deq 587 io.dispatch <> dpqOut 588 589 for (dp2 <- outer.dispatch2.map(_.module.io)) { 590 dp2.redirect := redirectForExu 591 if (dp2.readFpState.isDefined) { 592 dp2.readFpState.get := DontCare 593 } 594 if (dp2.readIntState.isDefined) { 595 dp2.readIntState.get := DontCare 596 } 597 if (dp2.enqLsq.isDefined) { 598 val lsqCtrl = Module(new LsqEnqCtrl) 599 lsqCtrl.io.redirect <> redirectForExu 600 lsqCtrl.io.enq <> dp2.enqLsq.get 601 lsqCtrl.io.lcommit := io.lqDeq 602 lsqCtrl.io.scommit := io.sqDeq 603 lsqCtrl.io.lqCancelCnt := io.lqCancelCnt 604 lsqCtrl.io.sqCancelCnt := io.sqCancelCnt 605 io.enqLsq <> lsqCtrl.io.enqLsq 606 rob.io.debugEnqLsq := io.enqLsq 607 } 608 } 609 for ((dp2In, i) <- outer.dispatch2.flatMap(_.module.io.in).zipWithIndex) { 610 dp2In.valid := dpqOut(i).valid 611 dp2In.bits := dpqOut(i).bits 612 // override ready here to avoid cross-module loop path 613 dpqOut(i).ready := dp2In.ready 614 } 615 for ((dp2Out, i) <- outer.dispatch2.flatMap(_.module.io.out).zipWithIndex) { 616 dp2Out.ready := io.rsReady(i) 617 } 618 619 val pingpong = RegInit(false.B) 620 pingpong := !pingpong 621 pcMem.io.raddr(0) := intDq.io.deqNext(0).cf.ftqPtr.value 622 pcMem.io.raddr(1) := intDq.io.deqNext(2).cf.ftqPtr.value 623 val jumpPcRead0 = pcMem.io.rdata(0).getPc(RegNext(intDq.io.deqNext(0).cf.ftqOffset)) 624 val jumpPcRead1 = pcMem.io.rdata(1).getPc(RegNext(intDq.io.deqNext(2).cf.ftqOffset)) 625 io.jumpPc := Mux(pingpong && (exuParameters.AluCnt > 2).B, jumpPcRead1, jumpPcRead0) 626 val jalrTargetReadPtr = Mux(pingpong && (exuParameters.AluCnt > 2).B, 627 io.dispatch(2).bits.cf.ftqPtr, 628 io.dispatch(0).bits.cf.ftqPtr) 629 pcMem.io.raddr(4) := (jalrTargetReadPtr + 1.U).value 630 val jalrTargetRead = pcMem.io.rdata(4).startAddr 631 val read_from_newest_entry = RegNext(jalrTargetReadPtr) === RegNext(io.frontend.fromFtq.newest_entry_ptr) 632 io.jalr_target := Mux(read_from_newest_entry, RegNext(io.frontend.fromFtq.newest_entry_target), jalrTargetRead) 633 for(i <- 0 until exuParameters.LduCnt){ 634 // load s0 -> get rdata (s1) -> reg next (s2) -> output (s2) 635 pcMem.io.raddr(i + PCMEMIDX_LD) := io.ld_pc_read(i).ptr.value 636 io.ld_pc_read(i).data := pcMem.io.rdata(i + PCMEMIDX_LD).getPc(RegNext(io.ld_pc_read(i).offset)) 637 } 638 if(EnableStorePrefetchSMS) { 639 for(i <- 0 until exuParameters.StuCnt){ 640 // store s0 -> get rdata (s1) -> reg next (s2) -> output (s2) 641 pcMem.io.raddr(i + PCMEMIDX_ST) := io.st_pc_read(i).ptr.value 642 io.st_pc_read(i).data := pcMem.io.rdata(i + PCMEMIDX_ST).getPc(RegNext(io.st_pc_read(i).offset)) 643 } 644 }else { 645 for(i <- 0 until exuParameters.StuCnt){ 646 io.st_pc_read(i).data := 0.U 647 } 648 } 649 650 rob.io.hartId := io.hartId 651 io.cpu_halt := DelayN(rob.io.cpu_halt, 5) 652 rob.io.redirect := stage2Redirect 653 outer.rob.generateWritebackIO(Some(outer), Some(this)) 654 655 io.redirect := stage2Redirect 656 657 // rob to int block 658 io.robio.toCSR <> rob.io.csr 659 // When wfi is disabled, it will not block ROB commit. 660 rob.io.csr.wfiEvent := io.robio.toCSR.wfiEvent 661 rob.io.wfi_enable := decode.io.csrCtrl.wfi_enable 662 io.robio.toCSR.perfinfo.retiredInstr <> RegNext(rob.io.csr.perfinfo.retiredInstr) 663 io.robio.exception := rob.io.exception 664 io.robio.exception.bits.uop.cf.pc := flushPC 665 666 // rob to mem block 667 io.robio.lsq <> rob.io.lsq 668 669 rob.io.debug_ls := io.robio.debug_ls 670 rob.io.debugHeadLsIssue := io.robHeadLsIssue 671 rob.io.lsTopdownInfo := io.robio.lsTopdownInfo 672 io.robDeqPtr := rob.io.robDeqPtr 673 674 io.debugTopDown.fromRob := rob.io.debugTopDown.toCore 675 dispatch.io.debugTopDown.fromRob := rob.io.debugTopDown.toDispatch 676 dispatch.io.debugTopDown.fromCore := io.debugTopDown.fromCore 677 678 io.perfInfo.ctrlInfo.robFull := RegNext(rob.io.robFull) 679 io.perfInfo.ctrlInfo.intdqFull := RegNext(intDq.io.dqFull) 680 io.perfInfo.ctrlInfo.fpdqFull := RegNext(fpDq.io.dqFull) 681 io.perfInfo.ctrlInfo.lsdqFull := RegNext(lsDq.io.dqFull) 682 683 val pfevent = Module(new PFEvent) 684 pfevent.io.distribute_csr := RegNext(io.csrCtrl.distribute_csr) 685 val csrevents = pfevent.io.hpmevent.slice(8,16) 686 687 val perfinfo = IO(new Bundle(){ 688 val perfEventsRs = Input(Vec(NumRs, new PerfEvent)) 689 val perfEventsEu0 = Input(Vec(6, new PerfEvent)) 690 val perfEventsEu1 = Input(Vec(6, new PerfEvent)) 691 }) 692 693 val allPerfEvents = Seq(decode, rename, dispatch, intDq, fpDq, lsDq, rob).flatMap(_.getPerf) 694 val hpmEvents = allPerfEvents ++ perfinfo.perfEventsEu0 ++ perfinfo.perfEventsEu1 ++ perfinfo.perfEventsRs 695 val perfEvents = HPerfMonitor(csrevents, hpmEvents).getPerfEvents 696 generatePerfEvent() 697} 698