1c6d43980SLemover/*************************************************************************************** 2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4c6d43980SLemover* 5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 8c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 9c6d43980SLemover* 10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13c6d43980SLemover* 14c6d43980SLemover* See the Mulan PSL v2 for more details. 15c6d43980SLemover***************************************************************************************/ 16c6d43980SLemover 178921b337SYinan Xupackage xiangshan.backend 188921b337SYinan Xu 192225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters 208921b337SYinan Xuimport chisel3._ 218921b337SYinan Xuimport chisel3.util._ 226ab6918fSYinan Xuimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 2321732575SYinan Xuimport utils._ 248921b337SYinan Xuimport xiangshan._ 250febc381SYinan Xuimport xiangshan.backend.decode.{DecodeStage, FusionDecoder, ImmUnion} 261cee9cb8SYinan Xuimport xiangshan.backend.dispatch.{Dispatch, Dispatch2Rs, DispatchQueue} 276ab6918fSYinan Xuimport xiangshan.backend.fu.PFEvent 287fa2c198SYinan Xuimport xiangshan.backend.rename.{Rename, RenameTableWrapper} 292b4e8253SYinan Xuimport xiangshan.backend.rob.{Rob, RobCSRIO, RobLsqIO} 306ab6918fSYinan Xuimport xiangshan.frontend.FtqRead 316ab6918fSYinan Xuimport xiangshan.mem.mdp.{LFST, SSIT, WaitTable} 320febc381SYinan Xuimport xiangshan.ExceptionNO._ 331cee9cb8SYinan Xuimport xiangshan.backend.exu.ExuConfig 341cee9cb8SYinan Xuimport xiangshan.mem.{LsqEnqCtrl, LsqEnqIO} 358921b337SYinan Xu 36f06ca0bfSLingrui98class CtrlToFtqIO(implicit p: Parameters) extends XSBundle { 372e1be6e1SSteve Gou def numRedirect = exuParameters.JmpCnt + exuParameters.AluCnt 389aca92b9SYinan Xu val rob_commits = Vec(CommitWidth, Valid(new RobCommitInfo)) 39df5b4b8eSYinan Xu val redirect = Valid(new Redirect) 402e1be6e1SSteve Gou val for_redirect_gen = new Bundle { 412e1be6e1SSteve Gou val rawRedirect = Valid(new Redirect) 422e1be6e1SSteve Gou val s1_redirect_onehot = Output(Vec(numRedirect+1, Bool())) 432e1be6e1SSteve Gou val s1_oldest_redirect = ValidIO(new Redirect) 442e1be6e1SSteve Gou val s1_oldest_exu_output = ValidIO(new ExuOutput) 452e1be6e1SSteve Gou val s1_jumpTarget = Output(UInt(VAddrBits.W)) 462e1be6e1SSteve Gou val flushRedirect = Valid(new Redirect) 472e1be6e1SSteve Gou val frontendFlushTarget = Output(UInt(VAddrBits.W)) 482e1be6e1SSteve Gou } 49f06ca0bfSLingrui98} 50f06ca0bfSLingrui98 512225d46eSJiawei Linclass RedirectGenerator(implicit p: Parameters) extends XSModule 52f06ca0bfSLingrui98 with HasCircularQueuePtrHelper { 532e1be6e1SSteve Gou 542e1be6e1SSteve Gou class RedirectGeneratorIO(implicit p: Parameters) extends XSBundle { 552e1be6e1SSteve Gou def numRedirect = exuParameters.JmpCnt + exuParameters.AluCnt 565668a921SJiawei Lin val hartId = Input(UInt(8.W)) 57dfde261eSljw val exuMispredict = Vec(numRedirect, Flipped(ValidIO(new ExuOutput))) 586c0bbf39Sljw val loadReplay = Flipped(ValidIO(new Redirect)) 599ed972adSLinJiawei val flush = Input(Bool()) 60e7b046c5Szoujr val stage1PcRead = Vec(numRedirect+1, new FtqRead(UInt(VAddrBits.W))) 61884dbb3bSLinJiawei val stage2Redirect = ValidIO(new Redirect) 62faf3cfa9SLinJiawei val stage3Redirect = ValidIO(new Redirect) 63de169c67SWilliam Wang val memPredUpdate = Output(new MemPredUpdateReq) 64e7b046c5Szoujr val memPredPcRead = new FtqRead(UInt(VAddrBits.W)) // read req send form stage 2 652e1be6e1SSteve Gou val for_frontend_redirect_gen = new Bundle { 662e1be6e1SSteve Gou val s1_jumpTarget = Output(UInt(VAddrBits.W)) 672e1be6e1SSteve Gou val s1_redirect_onehot = Output(Vec(numRedirect+1, Bool())) 682e1be6e1SSteve Gou val s1_oldest_redirect = ValidIO(new Redirect) 692e1be6e1SSteve Gou val s1_oldest_exu_output = ValidIO(new ExuOutput) 702e1be6e1SSteve Gou val s1_real_pc = Input(UInt(VAddrBits.W)) 712e1be6e1SSteve Gou } 722e1be6e1SSteve Gou } 732e1be6e1SSteve Gou val io = IO(new RedirectGeneratorIO) 74884dbb3bSLinJiawei /* 75884dbb3bSLinJiawei LoadQueue Jump ALU0 ALU1 ALU2 ALU3 exception Stage1 76884dbb3bSLinJiawei | | | | | | | 77faf3cfa9SLinJiawei |============= reg & compare =====| | ======== 7836d7aed5SLinJiawei | | 7936d7aed5SLinJiawei | | 8036d7aed5SLinJiawei | | Stage2 81884dbb3bSLinJiawei | | 82884dbb3bSLinJiawei redirect (flush backend) | 83884dbb3bSLinJiawei | | 84884dbb3bSLinJiawei === reg === | ======== 85884dbb3bSLinJiawei | | 86884dbb3bSLinJiawei |----- mux (exception first) -----| Stage3 87884dbb3bSLinJiawei | 88884dbb3bSLinJiawei redirect (send to frontend) 89884dbb3bSLinJiawei */ 90dfde261eSljw private class Wrapper(val n: Int) extends Bundle { 91dfde261eSljw val redirect = new Redirect 92dfde261eSljw val valid = Bool() 93dfde261eSljw val idx = UInt(log2Up(n).W) 94dfde261eSljw } 95435a337cSYinan Xu def selectOldestRedirect(xs: Seq[Valid[Redirect]]): Vec[Bool] = { 969aca92b9SYinan Xu val compareVec = (0 until xs.length).map(i => (0 until i).map(j => isAfter(xs(j).bits.robIdx, xs(i).bits.robIdx))) 97435a337cSYinan Xu val resultOnehot = VecInit((0 until xs.length).map(i => Cat((0 until xs.length).map(j => 98435a337cSYinan Xu (if (j < i) !xs(j).valid || compareVec(i)(j) 99435a337cSYinan Xu else if (j == i) xs(i).valid 100435a337cSYinan Xu else !xs(j).valid || !compareVec(j)(i)) 101435a337cSYinan Xu )).andR)) 102435a337cSYinan Xu resultOnehot 103dfde261eSljw } 104faf3cfa9SLinJiawei 105f06ca0bfSLingrui98 val redirects = io.exuMispredict.map(_.bits.redirect) :+ io.loadReplay.bits 106f06ca0bfSLingrui98 val stage1FtqReadPcs = 107de182b2aSLingrui98 (io.stage1PcRead zip redirects).map{ case (r, redirect) => 108f06ca0bfSLingrui98 r(redirect.ftqIdx, redirect.ftqOffset) 109f06ca0bfSLingrui98 } 110f7f707b0SLinJiawei 111dfde261eSljw def getRedirect(exuOut: Valid[ExuOutput]): ValidIO[Redirect] = { 112dfde261eSljw val redirect = Wire(Valid(new Redirect)) 113dfde261eSljw redirect.valid := exuOut.valid && exuOut.bits.redirect.cfiUpdate.isMisPred 114dfde261eSljw redirect.bits := exuOut.bits.redirect 115dfde261eSljw redirect 116dfde261eSljw } 117dfde261eSljw 118dfde261eSljw val jumpOut = io.exuMispredict.head 119435a337cSYinan Xu val allRedirect = VecInit(io.exuMispredict.map(x => getRedirect(x)) :+ io.loadReplay) 120435a337cSYinan Xu val oldestOneHot = selectOldestRedirect(allRedirect) 121f4b2089aSYinan Xu val needFlushVec = VecInit(allRedirect.map(_.bits.robIdx.needFlush(io.stage2Redirect) || io.flush)) 122435a337cSYinan Xu val oldestValid = VecInit(oldestOneHot.zip(needFlushVec).map{ case (v, f) => v && !f }).asUInt.orR 123072158bfSYinan Xu val oldestExuOutput = Mux1H(io.exuMispredict.indices.map(oldestOneHot), io.exuMispredict) 124435a337cSYinan Xu val oldestRedirect = Mux1H(oldestOneHot, allRedirect) 125dfde261eSljw 1266060732cSLinJiawei val s1_jumpTarget = RegEnable(jumpOut.bits.redirect.cfiUpdate.target, jumpOut.valid) 127435a337cSYinan Xu val s1_imm12_reg = RegNext(oldestExuOutput.bits.uop.ctrl.imm(11, 0)) 128435a337cSYinan Xu val s1_pd = RegNext(oldestExuOutput.bits.uop.cf.pd) 129435a337cSYinan Xu val s1_redirect_bits_reg = RegNext(oldestRedirect.bits) 130435a337cSYinan Xu val s1_redirect_valid_reg = RegNext(oldestValid) 131435a337cSYinan Xu val s1_redirect_onehot = RegNext(oldestOneHot) 1322e1be6e1SSteve Gou io.for_frontend_redirect_gen.s1_jumpTarget := s1_jumpTarget 1332e1be6e1SSteve Gou io.for_frontend_redirect_gen.s1_redirect_onehot := s1_redirect_onehot 1342e1be6e1SSteve Gou io.for_frontend_redirect_gen.s1_oldest_redirect.valid := s1_redirect_valid_reg 1352e1be6e1SSteve Gou io.for_frontend_redirect_gen.s1_oldest_redirect.bits := s1_redirect_bits_reg 1362e1be6e1SSteve Gou io.for_frontend_redirect_gen.s1_oldest_exu_output := RegNext(oldestExuOutput) 137faf3cfa9SLinJiawei 138faf3cfa9SLinJiawei // stage1 -> stage2 13927c1214eSLinJiawei io.stage2Redirect.valid := s1_redirect_valid_reg && !io.flush 140faf3cfa9SLinJiawei io.stage2Redirect.bits := s1_redirect_bits_reg 141faf3cfa9SLinJiawei 142072158bfSYinan Xu val s1_isReplay = s1_redirect_onehot.last 143072158bfSYinan Xu val s1_isJump = s1_redirect_onehot.head 144f06ca0bfSLingrui98 val real_pc = Mux1H(s1_redirect_onehot, stage1FtqReadPcs) 145dfde261eSljw val brTarget = real_pc + SignExt(ImmUnion.B.toImm32(s1_imm12_reg), XLEN) 146dfde261eSljw val snpc = real_pc + Mux(s1_pd.isRVC, 2.U, 4.U) 147435a337cSYinan Xu val target = Mux(s1_isReplay, 148c88c3a2aSYinan Xu real_pc, // replay from itself 149dfde261eSljw Mux(s1_redirect_bits_reg.cfiUpdate.taken, 150dfde261eSljw Mux(s1_isJump, s1_jumpTarget, brTarget), 1516060732cSLinJiawei snpc 152faf3cfa9SLinJiawei ) 153faf3cfa9SLinJiawei ) 1542b8b2e7aSWilliam Wang 1556f688dacSYinan Xu val stage2CfiUpdate = io.stage2Redirect.bits.cfiUpdate 1566f688dacSYinan Xu stage2CfiUpdate.pc := real_pc 1576f688dacSYinan Xu stage2CfiUpdate.pd := s1_pd 1582e1be6e1SSteve Gou // stage2CfiUpdate.predTaken := s1_redirect_bits_reg.cfiUpdate.predTaken 1596f688dacSYinan Xu stage2CfiUpdate.target := target 1602e1be6e1SSteve Gou // stage2CfiUpdate.taken := s1_redirect_bits_reg.cfiUpdate.taken 1612e1be6e1SSteve Gou // stage2CfiUpdate.isMisPred := s1_redirect_bits_reg.cfiUpdate.isMisPred 1626f688dacSYinan Xu 163005e809bSJiuyang Liu val s2_target = RegEnable(target, s1_redirect_valid_reg) 164005e809bSJiuyang Liu val s2_pc = RegEnable(real_pc, s1_redirect_valid_reg) 165005e809bSJiuyang Liu val s2_redirect_bits_reg = RegEnable(s1_redirect_bits_reg, s1_redirect_valid_reg) 1666f688dacSYinan Xu val s2_redirect_valid_reg = RegNext(s1_redirect_valid_reg && !io.flush, init = false.B) 1676f688dacSYinan Xu 1686f688dacSYinan Xu io.stage3Redirect.valid := s2_redirect_valid_reg 1696f688dacSYinan Xu io.stage3Redirect.bits := s2_redirect_bits_reg 1706f688dacSYinan Xu 171de169c67SWilliam Wang // get pc from ftq 172de169c67SWilliam Wang // valid only if redirect is caused by load violation 173de169c67SWilliam Wang // store_pc is used to update store set 174f06ca0bfSLingrui98 val store_pc = io.memPredPcRead(s1_redirect_bits_reg.stFtqIdx, s1_redirect_bits_reg.stFtqOffset) 1752b8b2e7aSWilliam Wang 1762e1be6e1SSteve Gou val s1_real_pc_from_frontend = io.for_frontend_redirect_gen.s1_real_pc 177de169c67SWilliam Wang // update load violation predictor if load violation redirect triggered 178de169c67SWilliam Wang io.memPredUpdate.valid := RegNext(s1_isReplay && s1_redirect_valid_reg, init = false.B) 179de169c67SWilliam Wang // update wait table 1802e1be6e1SSteve Gou io.memPredUpdate.waddr := RegNext(XORFold(s1_real_pc_from_frontend(VAddrBits-1, 1), MemPredPCWidth)) 181de169c67SWilliam Wang io.memPredUpdate.wdata := true.B 182de169c67SWilliam Wang // update store set 1832e1be6e1SSteve Gou io.memPredUpdate.ldpc := RegNext(XORFold(s1_real_pc_from_frontend(VAddrBits-1, 1), MemPredPCWidth)) 184de169c67SWilliam Wang // store pc is ready 1 cycle after s1_isReplay is judged 185de169c67SWilliam Wang io.memPredUpdate.stpc := XORFold(store_pc(VAddrBits-1, 1), MemPredPCWidth) 186de169c67SWilliam Wang 1872e1be6e1SSteve Gou XSError(io.memPredUpdate.valid && RegNext(s1_real_pc_from_frontend) =/= RegNext(real_pc), "s1_real_pc error") 1882e1be6e1SSteve Gou 18925ac26c6SWilliam Wang // // recover runahead checkpoint if redirect 19025ac26c6SWilliam Wang // if (!env.FPGAPlatform) { 19125ac26c6SWilliam Wang // val runahead_redirect = Module(new DifftestRunaheadRedirectEvent) 19225ac26c6SWilliam Wang // runahead_redirect.io.clock := clock 19325ac26c6SWilliam Wang // runahead_redirect.io.coreid := io.hartId 19425ac26c6SWilliam Wang // runahead_redirect.io.valid := io.stage3Redirect.valid 19525ac26c6SWilliam Wang // runahead_redirect.io.pc := s2_pc // for debug only 19625ac26c6SWilliam Wang // runahead_redirect.io.target_pc := s2_target // for debug only 19725ac26c6SWilliam Wang // runahead_redirect.io.checkpoint_id := io.stage3Redirect.bits.debug_runahead_checkpoint_id // make sure it is right 19825ac26c6SWilliam Wang // } 199884dbb3bSLinJiawei} 200884dbb3bSLinJiawei 2011cee9cb8SYinan Xuclass CtrlBlock(dpExuConfigs: Seq[Seq[Seq[ExuConfig]]])(implicit p: Parameters) extends LazyModule 2021ca0e4f3SYinan Xu with HasWritebackSink with HasWritebackSource { 2036ab6918fSYinan Xu val rob = LazyModule(new Rob) 2046ab6918fSYinan Xu 2056ab6918fSYinan Xu override def addWritebackSink(source: Seq[HasWritebackSource], index: Option[Seq[Int]]): HasWritebackSink = { 2066ab6918fSYinan Xu rob.addWritebackSink(Seq(this), Some(Seq(writebackSinks.length))) 2076ab6918fSYinan Xu super.addWritebackSink(source, index) 2086ab6918fSYinan Xu } 2096ab6918fSYinan Xu 2101cee9cb8SYinan Xu // duplicated dispatch2 here to avoid cross-module timing path loop. 2111cee9cb8SYinan Xu val dispatch2 = dpExuConfigs.map(c => LazyModule(new Dispatch2Rs(c))) 2126ab6918fSYinan Xu lazy val module = new CtrlBlockImp(this) 2136ab6918fSYinan Xu 2146ab6918fSYinan Xu override lazy val writebackSourceParams: Seq[WritebackSourceParams] = { 2156ab6918fSYinan Xu writebackSinksParams 2166ab6918fSYinan Xu } 2176ab6918fSYinan Xu override lazy val writebackSourceImp: HasWritebackSourceImp = module 2186ab6918fSYinan Xu 2196ab6918fSYinan Xu override def generateWritebackIO( 2206ab6918fSYinan Xu thisMod: Option[HasWritebackSource] = None, 2216ab6918fSYinan Xu thisModImp: Option[HasWritebackSourceImp] = None 2226ab6918fSYinan Xu ): Unit = { 2236ab6918fSYinan Xu module.io.writeback.zip(writebackSinksImp(thisMod, thisModImp)).foreach(x => x._1 := x._2) 2246ab6918fSYinan Xu } 2256ab6918fSYinan Xu} 2266ab6918fSYinan Xu 2276ab6918fSYinan Xuclass CtrlBlockImp(outer: CtrlBlock)(implicit p: Parameters) extends LazyModuleImp(outer) 2281ca0e4f3SYinan Xu with HasXSParameter 2291ca0e4f3SYinan Xu with HasCircularQueuePtrHelper 2301ca0e4f3SYinan Xu with HasWritebackSourceImp 2311ca0e4f3SYinan Xu with HasPerfEvents 2321ca0e4f3SYinan Xu{ 2336ab6918fSYinan Xu val writebackLengths = outer.writebackSinksParams.map(_.length) 2346ab6918fSYinan Xu 2358921b337SYinan Xu val io = IO(new Bundle { 2365668a921SJiawei Lin val hartId = Input(UInt(8.W)) 237b6900d94SYinan Xu val cpu_halt = Output(Bool()) 2385cbe3dbdSLingrui98 val frontend = Flipped(new FrontendToCtrlIO) 2391cee9cb8SYinan Xu // to exu blocks 2402b4e8253SYinan Xu val allocPregs = Vec(RenameWidth, Output(new ResetPregStateReq)) 2412b4e8253SYinan Xu val dispatch = Vec(3*dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp)) 2421cee9cb8SYinan Xu val rsReady = Vec(outer.dispatch2.map(_.module.io.out.length).sum, Input(Bool())) 2431cee9cb8SYinan Xu val enqLsq = Flipped(new LsqEnqIO) 2441cee9cb8SYinan Xu val lqCancelCnt = Input(UInt(log2Up(LoadQueueSize + 1).W)) 2451cee9cb8SYinan Xu val sqCancelCnt = Input(UInt(log2Up(StoreQueueSize + 1).W)) 2461cee9cb8SYinan Xu val sqDeq = Input(UInt(log2Ceil(EnsbufferWidth + 1).W)) 24766220144SYinan Xu // from int block 24866220144SYinan Xu val exuRedirect = Vec(exuParameters.AluCnt + exuParameters.JmpCnt, Flipped(ValidIO(new ExuOutput))) 24966220144SYinan Xu val stIn = Vec(exuParameters.StuCnt, Flipped(ValidIO(new ExuInput))) 25066220144SYinan Xu val memoryViolation = Flipped(ValidIO(new Redirect)) 25166220144SYinan Xu val jumpPc = Output(UInt(VAddrBits.W)) 25266220144SYinan Xu val jalr_target = Output(UInt(VAddrBits.W)) 2539aca92b9SYinan Xu val robio = new Bundle { 2541c2588aaSYinan Xu // to int block 2559aca92b9SYinan Xu val toCSR = new RobCSRIO 2563a474d38SYinan Xu val exception = ValidIO(new ExceptionInfo) 2571c2588aaSYinan Xu // to mem block 2589aca92b9SYinan Xu val lsq = new RobLsqIO 2591c2588aaSYinan Xu } 2602b8b2e7aSWilliam Wang val csrCtrl = Input(new CustomCSRCtrlIO) 261edd6ddbcSwakafa val perfInfo = Output(new Bundle{ 262edd6ddbcSwakafa val ctrlInfo = new Bundle { 2639aca92b9SYinan Xu val robFull = Input(Bool()) 264edd6ddbcSwakafa val intdqFull = Input(Bool()) 265edd6ddbcSwakafa val fpdqFull = Input(Bool()) 266edd6ddbcSwakafa val lsdqFull = Input(Bool()) 267edd6ddbcSwakafa } 268edd6ddbcSwakafa }) 2696ab6918fSYinan Xu val writeback = MixedVec(writebackLengths.map(num => Vec(num, Flipped(ValidIO(new ExuOutput))))) 27066220144SYinan Xu // redirect out 27166220144SYinan Xu val redirect = ValidIO(new Redirect) 27266220144SYinan Xu val debug_int_rat = Vec(32, Output(UInt(PhyRegIdxWidth.W))) 27366220144SYinan Xu val debug_fp_rat = Vec(32, Output(UInt(PhyRegIdxWidth.W))) 2748921b337SYinan Xu }) 2758921b337SYinan Xu 2766ab6918fSYinan Xu override def writebackSource: Option[Seq[Seq[Valid[ExuOutput]]]] = { 2776ab6918fSYinan Xu Some(io.writeback.map(writeback => { 2786ab6918fSYinan Xu val exuOutput = WireInit(writeback) 2796ab6918fSYinan Xu val timer = GTimer() 2806ab6918fSYinan Xu for ((wb_next, wb) <- exuOutput.zip(writeback)) { 2810dc4893dSYinan Xu wb_next.valid := RegNext(wb.valid && !wb.bits.uop.robIdx.needFlush(Seq(stage2Redirect, redirectForExu))) 2826ab6918fSYinan Xu wb_next.bits := RegNext(wb.bits) 2836ab6918fSYinan Xu wb_next.bits.uop.debugInfo.writebackTime := timer 2846ab6918fSYinan Xu } 2856ab6918fSYinan Xu exuOutput 2866ab6918fSYinan Xu })) 2876ab6918fSYinan Xu } 2886ab6918fSYinan Xu 2898921b337SYinan Xu val decode = Module(new DecodeStage) 2900febc381SYinan Xu val fusionDecoder = Module(new FusionDecoder) 2917fa2c198SYinan Xu val rat = Module(new RenameTableWrapper) 292980c1bc3SWilliam Wang val ssit = Module(new SSIT) 293980c1bc3SWilliam Wang val waittable = Module(new WaitTable) 2948921b337SYinan Xu val rename = Module(new Rename) 295694b0180SLinJiawei val dispatch = Module(new Dispatch) 2961ca0e4f3SYinan Xu val intDq = Module(new DispatchQueue(dpParams.IntDqSize, RenameWidth, dpParams.IntDqDeqWidth)) 2971ca0e4f3SYinan Xu val fpDq = Module(new DispatchQueue(dpParams.FpDqSize, RenameWidth, dpParams.FpDqDeqWidth)) 2981ca0e4f3SYinan Xu val lsDq = Module(new DispatchQueue(dpParams.LsDqSize, RenameWidth, dpParams.LsDqDeqWidth)) 299884dbb3bSLinJiawei val redirectGen = Module(new RedirectGenerator) 3008921b337SYinan Xu 3016ab6918fSYinan Xu val rob = outer.rob.module 3028921b337SYinan Xu 303f4b2089aSYinan Xu val robPcRead = io.frontend.fromFtq.getRobFlushPcRead 304f4b2089aSYinan Xu val flushPC = robPcRead(rob.io.flushOut.bits.ftqIdx, rob.io.flushOut.bits.ftqOffset) 305f4b2089aSYinan Xu 306f4b2089aSYinan Xu val flushRedirect = Wire(Valid(new Redirect)) 307f4b2089aSYinan Xu flushRedirect.valid := RegNext(rob.io.flushOut.valid) 308f4b2089aSYinan Xu flushRedirect.bits := RegEnable(rob.io.flushOut.bits, rob.io.flushOut.valid) 309f4b2089aSYinan Xu 310f4b2089aSYinan Xu val flushRedirectReg = Wire(Valid(new Redirect)) 311f4b2089aSYinan Xu flushRedirectReg.valid := RegNext(flushRedirect.valid, init = false.B) 312005e809bSJiuyang Liu flushRedirectReg.bits := RegEnable(flushRedirect.bits, flushRedirect.valid) 313f4b2089aSYinan Xu 314f4b2089aSYinan Xu val stage2Redirect = Mux(flushRedirect.valid, flushRedirect, redirectGen.io.stage2Redirect) 3150dc4893dSYinan Xu // Redirect will be RegNext at ExuBlocks. 3160dc4893dSYinan Xu val redirectForExu = RegNextWithEnable(stage2Redirect) 317faf3cfa9SLinJiawei 31866220144SYinan Xu val exuRedirect = io.exuRedirect.map(x => { 319dfde261eSljw val valid = x.valid && x.bits.redirectValid 3200dc4893dSYinan Xu val killedByOlder = x.bits.uop.robIdx.needFlush(Seq(stage2Redirect, redirectForExu)) 321dfde261eSljw val delayed = Wire(Valid(new ExuOutput)) 322dfde261eSljw delayed.valid := RegNext(valid && !killedByOlder, init = false.B) 323dfde261eSljw delayed.bits := RegEnable(x.bits, x.valid) 324dfde261eSljw delayed 325faf3cfa9SLinJiawei }) 326c1b37c81Sljw val loadReplay = Wire(Valid(new Redirect)) 32766220144SYinan Xu loadReplay.valid := RegNext(io.memoryViolation.valid && 3280dc4893dSYinan Xu !io.memoryViolation.bits.robIdx.needFlush(Seq(stage2Redirect, redirectForExu)), 329c1b37c81Sljw init = false.B 330c1b37c81Sljw ) 33166220144SYinan Xu loadReplay.bits := RegEnable(io.memoryViolation.bits, io.memoryViolation.valid) 332f06ca0bfSLingrui98 io.frontend.fromFtq.getRedirectPcRead <> redirectGen.io.stage1PcRead 333f06ca0bfSLingrui98 io.frontend.fromFtq.getMemPredPcRead <> redirectGen.io.memPredPcRead 3345668a921SJiawei Lin redirectGen.io.hartId := io.hartId 335dfde261eSljw redirectGen.io.exuMispredict <> exuRedirect 336c1b37c81Sljw redirectGen.io.loadReplay <> loadReplay 3376f688dacSYinan Xu redirectGen.io.flush := flushRedirect.valid 3388921b337SYinan Xu 339df5b4b8eSYinan Xu val frontendFlushValid = DelayN(flushRedirect.valid, 5) 340df5b4b8eSYinan Xu val frontendFlushBits = RegEnable(flushRedirect.bits, flushRedirect.valid) 341a1351e5dSJay // When ROB commits an instruction with a flush, we notify the frontend of the flush without the commit. 342a1351e5dSJay // Flushes to frontend may be delayed by some cycles and commit before flush causes errors. 343a1351e5dSJay // Thus, we make all flush reasons to behave the same as exceptions for frontend. 344884dbb3bSLinJiawei for (i <- 0 until CommitWidth) { 345a1351e5dSJay val is_commit = rob.io.commits.valid(i) && !rob.io.commits.isWalk && !rob.io.flushOut.valid 346a1351e5dSJay io.frontend.toFtq.rob_commits(i).valid := RegNext(is_commit) 347a1351e5dSJay io.frontend.toFtq.rob_commits(i).bits := RegEnable(rob.io.commits.info(i), is_commit) 348884dbb3bSLinJiawei } 349df5b4b8eSYinan Xu io.frontend.toFtq.redirect.valid := frontendFlushValid || redirectGen.io.stage2Redirect.valid 350df5b4b8eSYinan Xu io.frontend.toFtq.redirect.bits := Mux(frontendFlushValid, frontendFlushBits, redirectGen.io.stage2Redirect.bits) 351df5b4b8eSYinan Xu // Be careful here: 352df5b4b8eSYinan Xu // T0: flushRedirect.valid, exception.valid 353df5b4b8eSYinan Xu // T1: csr.redirect.valid 354df5b4b8eSYinan Xu // T2: csr.exception.valid 355df5b4b8eSYinan Xu // T3: csr.trapTarget 356df5b4b8eSYinan Xu // T4: ctrlBlock.trapTarget 357df5b4b8eSYinan Xu // T5: io.frontend.toFtq.stage2Redirect.valid 358df5b4b8eSYinan Xu val pc_from_csr = io.robio.toCSR.isXRet || DelayN(rob.io.exception.valid, 4) 359df5b4b8eSYinan Xu val rob_flush_pc = RegEnable(Mux(flushRedirect.bits.flushItself(), 360df5b4b8eSYinan Xu flushPC, // replay inst 361df5b4b8eSYinan Xu flushPC + 4.U // flush pipe 362df5b4b8eSYinan Xu ), flushRedirect.valid) 363df5b4b8eSYinan Xu val flushTarget = Mux(pc_from_csr, io.robio.toCSR.trapTarget, rob_flush_pc) 3642e1be6e1SSteve Gou when (frontendFlushValid) { 3652e1be6e1SSteve Gou io.frontend.toFtq.redirect.bits.level := RedirectLevel.flush 366df5b4b8eSYinan Xu io.frontend.toFtq.redirect.bits.cfiUpdate.target := RegNext(flushTarget) 367a1351e5dSJay } 3682e1be6e1SSteve Gou redirectGen.io.for_frontend_redirect_gen.s1_real_pc := io.frontend.fromFtq.redirect_s1_real_pc 3692e1be6e1SSteve Gou io.frontend.toFtq.for_redirect_gen.s1_oldest_redirect := redirectGen.io.for_frontend_redirect_gen.s1_oldest_redirect 3702e1be6e1SSteve Gou io.frontend.toFtq.for_redirect_gen.s1_oldest_exu_output := redirectGen.io.for_frontend_redirect_gen.s1_oldest_exu_output 3712e1be6e1SSteve Gou io.frontend.toFtq.for_redirect_gen.s1_redirect_onehot := redirectGen.io.for_frontend_redirect_gen.s1_redirect_onehot 3722e1be6e1SSteve Gou io.frontend.toFtq.for_redirect_gen.s1_jumpTarget := redirectGen.io.for_frontend_redirect_gen.s1_jumpTarget 3732e1be6e1SSteve Gou io.frontend.toFtq.for_redirect_gen.rawRedirect := redirectGen.io.stage2Redirect 3742e1be6e1SSteve Gou io.frontend.toFtq.for_redirect_gen.flushRedirect.valid := frontendFlushValid 3752e1be6e1SSteve Gou io.frontend.toFtq.for_redirect_gen.flushRedirect.bits := frontendFlushBits 3762e1be6e1SSteve Gou 3772e1be6e1SSteve Gou io.frontend.toFtq.for_redirect_gen.frontendFlushTarget := RegNext(flushTarget) 3782e1be6e1SSteve Gou 3792e1be6e1SSteve Gou 3806f688dacSYinan Xu val pendingRedirect = RegInit(false.B) 3816f688dacSYinan Xu when (stage2Redirect.valid) { 3826f688dacSYinan Xu pendingRedirect := true.B 383df5b4b8eSYinan Xu }.elsewhen (RegNext(io.frontend.toFtq.redirect.valid)) { 3846f688dacSYinan Xu pendingRedirect := false.B 3856f688dacSYinan Xu } 38666bcc42fSYinan Xu 3878921b337SYinan Xu decode.io.in <> io.frontend.cfVec 388fd7603d9SYinan Xu decode.io.csrCtrl := RegNext(io.csrCtrl) 389a0db5a4bSYinan Xu decode.io.intRat <> rat.io.intReadPorts 390a0db5a4bSYinan Xu decode.io.fpRat <> rat.io.fpReadPorts 391980c1bc3SWilliam Wang 392980c1bc3SWilliam Wang // memory dependency predict 393980c1bc3SWilliam Wang // when decode, send fold pc to mdp 394980c1bc3SWilliam Wang for (i <- 0 until DecodeWidth) { 395980c1bc3SWilliam Wang val mdp_foldpc = Mux( 396a0db5a4bSYinan Xu decode.io.out(i).fire, 397980c1bc3SWilliam Wang decode.io.in(i).bits.foldpc, 398980c1bc3SWilliam Wang rename.io.in(i).bits.cf.foldpc 399980c1bc3SWilliam Wang ) 400980c1bc3SWilliam Wang ssit.io.raddr(i) := mdp_foldpc 401980c1bc3SWilliam Wang waittable.io.raddr(i) := mdp_foldpc 402980c1bc3SWilliam Wang } 403980c1bc3SWilliam Wang // currently, we only update mdp info when isReplay 404980c1bc3SWilliam Wang ssit.io.update <> RegNext(redirectGen.io.memPredUpdate) 405980c1bc3SWilliam Wang ssit.io.csrCtrl := RegNext(io.csrCtrl) 406980c1bc3SWilliam Wang waittable.io.update <> RegNext(redirectGen.io.memPredUpdate) 407980c1bc3SWilliam Wang waittable.io.csrCtrl := RegNext(io.csrCtrl) 408980c1bc3SWilliam Wang 409980c1bc3SWilliam Wang // LFST lookup and update 410980c1bc3SWilliam Wang val lfst = Module(new LFST) 411980c1bc3SWilliam Wang lfst.io.redirect <> RegNext(io.redirect) 412980c1bc3SWilliam Wang lfst.io.storeIssue <> RegNext(io.stIn) 413980c1bc3SWilliam Wang lfst.io.csrCtrl <> RegNext(io.csrCtrl) 414980c1bc3SWilliam Wang lfst.io.dispatch <> dispatch.io.lfst 4152b8b2e7aSWilliam Wang 4167fa2c198SYinan Xu rat.io.robCommits := rob.io.commits 4177fa2c198SYinan Xu rat.io.intRenamePorts := rename.io.intRenamePorts 4187fa2c198SYinan Xu rat.io.fpRenamePorts := rename.io.fpRenamePorts 4197fa2c198SYinan Xu rat.io.debug_int_rat <> io.debug_int_rat 4207fa2c198SYinan Xu rat.io.debug_fp_rat <> io.debug_fp_rat 4210412e00dSLinJiawei 4222b4e8253SYinan Xu // pipeline between decode and rename 423b424051cSYinan Xu for (i <- 0 until RenameWidth) { 4240febc381SYinan Xu // fusion decoder 4250febc381SYinan Xu val decodeHasException = io.frontend.cfVec(i).bits.exceptionVec(instrPageFault) || io.frontend.cfVec(i).bits.exceptionVec(instrAccessFault) 4260febc381SYinan Xu val disableFusion = decode.io.csrCtrl.singlestep 4270febc381SYinan Xu fusionDecoder.io.in(i).valid := io.frontend.cfVec(i).valid && !(decodeHasException || disableFusion) 4280febc381SYinan Xu fusionDecoder.io.in(i).bits := io.frontend.cfVec(i).bits.instr 4290febc381SYinan Xu if (i > 0) { 4300febc381SYinan Xu fusionDecoder.io.inReady(i - 1) := decode.io.out(i).ready 4310febc381SYinan Xu } 4320febc381SYinan Xu 4330febc381SYinan Xu // Pipeline 4340febc381SYinan Xu val renamePipe = PipelineNext(decode.io.out(i), rename.io.in(i).ready, 4356f688dacSYinan Xu stage2Redirect.valid || pendingRedirect) 4360febc381SYinan Xu renamePipe.ready := rename.io.in(i).ready 4370febc381SYinan Xu rename.io.in(i).valid := renamePipe.valid && !fusionDecoder.io.clear(i) 4380febc381SYinan Xu rename.io.in(i).bits := renamePipe.bits 439a0db5a4bSYinan Xu rename.io.intReadPorts(i) := rat.io.intReadPorts(i).map(_.data) 440a0db5a4bSYinan Xu rename.io.fpReadPorts(i) := rat.io.fpReadPorts(i).map(_.data) 441a0db5a4bSYinan Xu rename.io.waittable(i) := RegEnable(waittable.io.rdata(i), decode.io.out(i).fire) 4420febc381SYinan Xu 4430febc381SYinan Xu if (i < RenameWidth - 1) { 4440febc381SYinan Xu // fusion decoder sees the raw decode info 4450febc381SYinan Xu fusionDecoder.io.dec(i) := renamePipe.bits.ctrl 4460febc381SYinan Xu rename.io.fusionInfo(i) := fusionDecoder.io.info(i) 4470febc381SYinan Xu 4480febc381SYinan Xu // update the first RenameWidth - 1 instructions 4490febc381SYinan Xu decode.io.fusion(i) := fusionDecoder.io.out(i).valid && rename.io.out(i).fire 4500febc381SYinan Xu when (fusionDecoder.io.out(i).valid) { 4510febc381SYinan Xu fusionDecoder.io.out(i).bits.update(rename.io.in(i).bits.ctrl) 4520febc381SYinan Xu // TODO: remove this dirty code for ftq update 4530febc381SYinan Xu val sameFtqPtr = rename.io.in(i).bits.cf.ftqPtr.value === rename.io.in(i + 1).bits.cf.ftqPtr.value 4540febc381SYinan Xu val ftqOffset0 = rename.io.in(i).bits.cf.ftqOffset 4550febc381SYinan Xu val ftqOffset1 = rename.io.in(i + 1).bits.cf.ftqOffset 4560febc381SYinan Xu val ftqOffsetDiff = ftqOffset1 - ftqOffset0 4570febc381SYinan Xu val cond1 = sameFtqPtr && ftqOffsetDiff === 1.U 4580febc381SYinan Xu val cond2 = sameFtqPtr && ftqOffsetDiff === 2.U 4590febc381SYinan Xu val cond3 = !sameFtqPtr && ftqOffset1 === 0.U 4600febc381SYinan Xu val cond4 = !sameFtqPtr && ftqOffset1 === 1.U 4610febc381SYinan Xu rename.io.in(i).bits.ctrl.commitType := Mux(cond1, 4.U, Mux(cond2, 5.U, Mux(cond3, 6.U, 7.U))) 4620febc381SYinan Xu XSError(!cond1 && !cond2 && !cond3 && !cond4, p"new condition $sameFtqPtr $ftqOffset0 $ftqOffset1\n") 4630febc381SYinan Xu } 4640febc381SYinan Xu } 465b424051cSYinan Xu } 4668921b337SYinan Xu 467f06ca0bfSLingrui98 rename.io.redirect <> stage2Redirect 4689aca92b9SYinan Xu rename.io.robCommits <> rob.io.commits 469980c1bc3SWilliam Wang rename.io.ssit <> ssit.io.rdata 4708921b337SYinan Xu 4712b4e8253SYinan Xu // pipeline between rename and dispatch 4722b4e8253SYinan Xu for (i <- 0 until RenameWidth) { 473f4b2089aSYinan Xu PipelineConnect(rename.io.out(i), dispatch.io.fromRename(i), dispatch.io.recv(i), stage2Redirect.valid) 4742b4e8253SYinan Xu } 4752b4e8253SYinan Xu 4765668a921SJiawei Lin dispatch.io.hartId := io.hartId 477f06ca0bfSLingrui98 dispatch.io.redirect <> stage2Redirect 4789aca92b9SYinan Xu dispatch.io.enqRob <> rob.io.enq 4792b4e8253SYinan Xu dispatch.io.toIntDq <> intDq.io.enq 4802b4e8253SYinan Xu dispatch.io.toFpDq <> fpDq.io.enq 4812b4e8253SYinan Xu dispatch.io.toLsDq <> lsDq.io.enq 4822b4e8253SYinan Xu dispatch.io.allocPregs <> io.allocPregs 483d7dd1af1SLi Qianruo dispatch.io.singleStep := RegNext(io.csrCtrl.singlestep) 4840412e00dSLinJiawei 4850dc4893dSYinan Xu intDq.io.redirect <> redirectForExu 4860dc4893dSYinan Xu fpDq.io.redirect <> redirectForExu 4870dc4893dSYinan Xu lsDq.io.redirect <> redirectForExu 4882b4e8253SYinan Xu 4891cee9cb8SYinan Xu val dpqOut = intDq.io.deq ++ lsDq.io.deq ++ fpDq.io.deq 4901cee9cb8SYinan Xu io.dispatch <> dpqOut 4911cee9cb8SYinan Xu 4921cee9cb8SYinan Xu for (dp2 <- outer.dispatch2.map(_.module.io)) { 4931cee9cb8SYinan Xu dp2.redirect := redirectForExu 4941cee9cb8SYinan Xu if (dp2.readFpState.isDefined) { 4951cee9cb8SYinan Xu dp2.readFpState.get := DontCare 4961cee9cb8SYinan Xu } 4971cee9cb8SYinan Xu if (dp2.readIntState.isDefined) { 4981cee9cb8SYinan Xu dp2.readIntState.get := DontCare 4991cee9cb8SYinan Xu } 5001cee9cb8SYinan Xu if (dp2.enqLsq.isDefined) { 5011cee9cb8SYinan Xu val lsqCtrl = Module(new LsqEnqCtrl) 5021cee9cb8SYinan Xu lsqCtrl.io.redirect <> redirectForExu 5031cee9cb8SYinan Xu lsqCtrl.io.enq <> dp2.enqLsq.get 5041cee9cb8SYinan Xu lsqCtrl.io.lcommit := rob.io.lsq.lcommit 5051cee9cb8SYinan Xu lsqCtrl.io.scommit := io.sqDeq 5061cee9cb8SYinan Xu lsqCtrl.io.lqCancelCnt := io.lqCancelCnt 5071cee9cb8SYinan Xu lsqCtrl.io.sqCancelCnt := io.sqCancelCnt 5081cee9cb8SYinan Xu io.enqLsq <> lsqCtrl.io.enqLsq 5091cee9cb8SYinan Xu } 5101cee9cb8SYinan Xu } 5111cee9cb8SYinan Xu for ((dp2In, i) <- outer.dispatch2.flatMap(_.module.io.in).zipWithIndex) { 5121cee9cb8SYinan Xu dp2In.valid := dpqOut(i).valid 5131cee9cb8SYinan Xu dp2In.bits := dpqOut(i).bits 5141cee9cb8SYinan Xu // override ready here to avoid cross-module loop path 5151cee9cb8SYinan Xu dpqOut(i).ready := dp2In.ready 5161cee9cb8SYinan Xu } 5171cee9cb8SYinan Xu for ((dp2Out, i) <- outer.dispatch2.flatMap(_.module.io.out).zipWithIndex) { 5181cee9cb8SYinan Xu dp2Out.ready := io.rsReady(i) 5191cee9cb8SYinan Xu } 5203fae98acSYinan Xu 521f973ab00SYinan Xu val pingpong = RegInit(false.B) 522f973ab00SYinan Xu pingpong := !pingpong 523f973ab00SYinan Xu val jumpInst = Mux(pingpong && (exuParameters.AluCnt > 2).B, io.dispatch(2).bits, io.dispatch(0).bits) 5247fa2c198SYinan Xu val jumpPcRead = io.frontend.fromFtq.getJumpPcRead 525*74515c5aSYinan Xu io.jumpPc := jumpPcRead(jumpInst.cf.ftqPtr, jumpInst.cf.ftqOffset).asUInt 5267fa2c198SYinan Xu val jumpTargetRead = io.frontend.fromFtq.target_read 5277fa2c198SYinan Xu io.jalr_target := jumpTargetRead(jumpInst.cf.ftqPtr, jumpInst.cf.ftqOffset) 5287fa2c198SYinan Xu 5295668a921SJiawei Lin rob.io.hartId := io.hartId 530b6900d94SYinan Xu io.cpu_halt := DelayN(rob.io.cpu_halt, 5) 5319aca92b9SYinan Xu rob.io.redirect <> stage2Redirect 5326ab6918fSYinan Xu outer.rob.generateWritebackIO(Some(outer), Some(this)) 5330412e00dSLinJiawei 5345cbe3dbdSLingrui98 io.redirect <> stage2Redirect 5350412e00dSLinJiawei 5369aca92b9SYinan Xu // rob to int block 5379aca92b9SYinan Xu io.robio.toCSR <> rob.io.csr 5389aca92b9SYinan Xu io.robio.toCSR.perfinfo.retiredInstr <> RegNext(rob.io.csr.perfinfo.retiredInstr) 5399aca92b9SYinan Xu io.robio.exception := rob.io.exception 5409aca92b9SYinan Xu io.robio.exception.bits.uop.cf.pc := flushPC 5412b4e8253SYinan Xu 5429aca92b9SYinan Xu // rob to mem block 5439aca92b9SYinan Xu io.robio.lsq <> rob.io.lsq 544edd6ddbcSwakafa 5459aca92b9SYinan Xu io.perfInfo.ctrlInfo.robFull := RegNext(rob.io.robFull) 5462b4e8253SYinan Xu io.perfInfo.ctrlInfo.intdqFull := RegNext(intDq.io.dqFull) 5472b4e8253SYinan Xu io.perfInfo.ctrlInfo.fpdqFull := RegNext(fpDq.io.dqFull) 5482b4e8253SYinan Xu io.perfInfo.ctrlInfo.lsdqFull := RegNext(lsDq.io.dqFull) 549cd365d4cSrvcoresjw 550cd365d4cSrvcoresjw val pfevent = Module(new PFEvent) 5511ca0e4f3SYinan Xu pfevent.io.distribute_csr := RegNext(io.csrCtrl.distribute_csr) 552cd365d4cSrvcoresjw val csrevents = pfevent.io.hpmevent.slice(8,16) 5531ca0e4f3SYinan Xu 554cd365d4cSrvcoresjw val perfinfo = IO(new Bundle(){ 5551ca0e4f3SYinan Xu val perfEventsRs = Input(Vec(NumRs, new PerfEvent)) 5561ca0e4f3SYinan Xu val perfEventsEu0 = Input(Vec(6, new PerfEvent)) 5571ca0e4f3SYinan Xu val perfEventsEu1 = Input(Vec(6, new PerfEvent)) 558cd365d4cSrvcoresjw }) 559cd365d4cSrvcoresjw 5601ca0e4f3SYinan Xu val allPerfEvents = Seq(decode, rename, dispatch, intDq, fpDq, lsDq, rob).flatMap(_.getPerf) 5611ca0e4f3SYinan Xu val hpmEvents = allPerfEvents ++ perfinfo.perfEventsEu0 ++ perfinfo.perfEventsEu1 ++ perfinfo.perfEventsRs 5621ca0e4f3SYinan Xu val perfEvents = HPerfMonitor(csrevents, hpmEvents).getPerfEvents 5631ca0e4f3SYinan Xu generatePerfEvent() 5648921b337SYinan Xu} 565