1c6d43980SLemover/*************************************************************************************** 2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4c6d43980SLemover* 5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 8c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 9c6d43980SLemover* 10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13c6d43980SLemover* 14c6d43980SLemover* See the Mulan PSL v2 for more details. 15c6d43980SLemover***************************************************************************************/ 16c6d43980SLemover 178921b337SYinan Xupackage xiangshan.backend 188921b337SYinan Xu 192225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters 208921b337SYinan Xuimport chisel3._ 218921b337SYinan Xuimport chisel3.util._ 22*6ab6918fSYinan Xuimport difftest._ 23*6ab6918fSYinan Xuimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 2421732575SYinan Xuimport utils._ 258921b337SYinan Xuimport xiangshan._ 26de169c67SWilliam Wangimport xiangshan.backend.decode.{DecodeStage, ImmUnion} 272b4e8253SYinan Xuimport xiangshan.backend.dispatch.{Dispatch, DispatchQueue} 28*6ab6918fSYinan Xuimport xiangshan.backend.fu.PFEvent 297fa2c198SYinan Xuimport xiangshan.backend.rename.{Rename, RenameTableWrapper} 302b4e8253SYinan Xuimport xiangshan.backend.rob.{Rob, RobCSRIO, RobLsqIO} 31*6ab6918fSYinan Xuimport xiangshan.frontend.FtqRead 32*6ab6918fSYinan Xuimport xiangshan.mem.mdp.{LFST, SSIT, WaitTable} 338921b337SYinan Xu 34f06ca0bfSLingrui98class CtrlToFtqIO(implicit p: Parameters) extends XSBundle { 359aca92b9SYinan Xu val rob_commits = Vec(CommitWidth, Valid(new RobCommitInfo)) 36f06ca0bfSLingrui98 val stage2Redirect = Valid(new Redirect) 375e63d5cbSLingrui98 val stage3Redirect = ValidIO(new Redirect) 38f4b2089aSYinan Xu val robFlush = ValidIO(new Redirect) 39f06ca0bfSLingrui98} 40f06ca0bfSLingrui98 412225d46eSJiawei Linclass RedirectGenerator(implicit p: Parameters) extends XSModule 42f06ca0bfSLingrui98 with HasCircularQueuePtrHelper { 43dfde261eSljw val numRedirect = exuParameters.JmpCnt + exuParameters.AluCnt 44884dbb3bSLinJiawei val io = IO(new Bundle() { 455668a921SJiawei Lin val hartId = Input(UInt(8.W)) 46dfde261eSljw val exuMispredict = Vec(numRedirect, Flipped(ValidIO(new ExuOutput))) 476c0bbf39Sljw val loadReplay = Flipped(ValidIO(new Redirect)) 489ed972adSLinJiawei val flush = Input(Bool()) 49e7b046c5Szoujr val stage1PcRead = Vec(numRedirect+1, new FtqRead(UInt(VAddrBits.W))) 50884dbb3bSLinJiawei val stage2Redirect = ValidIO(new Redirect) 51faf3cfa9SLinJiawei val stage3Redirect = ValidIO(new Redirect) 52de169c67SWilliam Wang val memPredUpdate = Output(new MemPredUpdateReq) 53e7b046c5Szoujr val memPredPcRead = new FtqRead(UInt(VAddrBits.W)) // read req send form stage 2 54884dbb3bSLinJiawei }) 55884dbb3bSLinJiawei /* 56884dbb3bSLinJiawei LoadQueue Jump ALU0 ALU1 ALU2 ALU3 exception Stage1 57884dbb3bSLinJiawei | | | | | | | 58faf3cfa9SLinJiawei |============= reg & compare =====| | ======== 5936d7aed5SLinJiawei | | 6036d7aed5SLinJiawei | | 6136d7aed5SLinJiawei | | Stage2 62884dbb3bSLinJiawei | | 63884dbb3bSLinJiawei redirect (flush backend) | 64884dbb3bSLinJiawei | | 65884dbb3bSLinJiawei === reg === | ======== 66884dbb3bSLinJiawei | | 67884dbb3bSLinJiawei |----- mux (exception first) -----| Stage3 68884dbb3bSLinJiawei | 69884dbb3bSLinJiawei redirect (send to frontend) 70884dbb3bSLinJiawei */ 71dfde261eSljw private class Wrapper(val n: Int) extends Bundle { 72dfde261eSljw val redirect = new Redirect 73dfde261eSljw val valid = Bool() 74dfde261eSljw val idx = UInt(log2Up(n).W) 75dfde261eSljw } 76435a337cSYinan Xu def selectOldestRedirect(xs: Seq[Valid[Redirect]]): Vec[Bool] = { 779aca92b9SYinan Xu val compareVec = (0 until xs.length).map(i => (0 until i).map(j => isAfter(xs(j).bits.robIdx, xs(i).bits.robIdx))) 78435a337cSYinan Xu val resultOnehot = VecInit((0 until xs.length).map(i => Cat((0 until xs.length).map(j => 79435a337cSYinan Xu (if (j < i) !xs(j).valid || compareVec(i)(j) 80435a337cSYinan Xu else if (j == i) xs(i).valid 81435a337cSYinan Xu else !xs(j).valid || !compareVec(j)(i)) 82435a337cSYinan Xu )).andR)) 83435a337cSYinan Xu resultOnehot 84dfde261eSljw } 85faf3cfa9SLinJiawei 86f06ca0bfSLingrui98 val redirects = io.exuMispredict.map(_.bits.redirect) :+ io.loadReplay.bits 87f06ca0bfSLingrui98 val stage1FtqReadPcs = 88de182b2aSLingrui98 (io.stage1PcRead zip redirects).map{ case (r, redirect) => 89f06ca0bfSLingrui98 r(redirect.ftqIdx, redirect.ftqOffset) 90f06ca0bfSLingrui98 } 91f7f707b0SLinJiawei 92dfde261eSljw def getRedirect(exuOut: Valid[ExuOutput]): ValidIO[Redirect] = { 93dfde261eSljw val redirect = Wire(Valid(new Redirect)) 94dfde261eSljw redirect.valid := exuOut.valid && exuOut.bits.redirect.cfiUpdate.isMisPred 95dfde261eSljw redirect.bits := exuOut.bits.redirect 96dfde261eSljw redirect 97dfde261eSljw } 98dfde261eSljw 99dfde261eSljw val jumpOut = io.exuMispredict.head 100435a337cSYinan Xu val allRedirect = VecInit(io.exuMispredict.map(x => getRedirect(x)) :+ io.loadReplay) 101435a337cSYinan Xu val oldestOneHot = selectOldestRedirect(allRedirect) 102f4b2089aSYinan Xu val needFlushVec = VecInit(allRedirect.map(_.bits.robIdx.needFlush(io.stage2Redirect) || io.flush)) 103435a337cSYinan Xu val oldestValid = VecInit(oldestOneHot.zip(needFlushVec).map{ case (v, f) => v && !f }).asUInt.orR 104072158bfSYinan Xu val oldestExuOutput = Mux1H(io.exuMispredict.indices.map(oldestOneHot), io.exuMispredict) 105435a337cSYinan Xu val oldestRedirect = Mux1H(oldestOneHot, allRedirect) 106dfde261eSljw 1076060732cSLinJiawei val s1_jumpTarget = RegEnable(jumpOut.bits.redirect.cfiUpdate.target, jumpOut.valid) 108435a337cSYinan Xu val s1_imm12_reg = RegNext(oldestExuOutput.bits.uop.ctrl.imm(11, 0)) 109435a337cSYinan Xu val s1_pd = RegNext(oldestExuOutput.bits.uop.cf.pd) 110435a337cSYinan Xu val s1_redirect_bits_reg = RegNext(oldestRedirect.bits) 111435a337cSYinan Xu val s1_redirect_valid_reg = RegNext(oldestValid) 112435a337cSYinan Xu val s1_redirect_onehot = RegNext(oldestOneHot) 113faf3cfa9SLinJiawei 114faf3cfa9SLinJiawei // stage1 -> stage2 11527c1214eSLinJiawei io.stage2Redirect.valid := s1_redirect_valid_reg && !io.flush 116faf3cfa9SLinJiawei io.stage2Redirect.bits := s1_redirect_bits_reg 117faf3cfa9SLinJiawei io.stage2Redirect.bits.cfiUpdate := DontCare 118faf3cfa9SLinJiawei 119072158bfSYinan Xu val s1_isReplay = s1_redirect_onehot.last 120072158bfSYinan Xu val s1_isJump = s1_redirect_onehot.head 121f06ca0bfSLingrui98 val real_pc = Mux1H(s1_redirect_onehot, stage1FtqReadPcs) 122dfde261eSljw val brTarget = real_pc + SignExt(ImmUnion.B.toImm32(s1_imm12_reg), XLEN) 123dfde261eSljw val snpc = real_pc + Mux(s1_pd.isRVC, 2.U, 4.U) 124435a337cSYinan Xu val target = Mux(s1_isReplay, 125c88c3a2aSYinan Xu real_pc, // replay from itself 126dfde261eSljw Mux(s1_redirect_bits_reg.cfiUpdate.taken, 127dfde261eSljw Mux(s1_isJump, s1_jumpTarget, brTarget), 1286060732cSLinJiawei snpc 129faf3cfa9SLinJiawei ) 130faf3cfa9SLinJiawei ) 1312b8b2e7aSWilliam Wang 132de169c67SWilliam Wang // get pc from ftq 133de169c67SWilliam Wang // valid only if redirect is caused by load violation 134de169c67SWilliam Wang // store_pc is used to update store set 135f06ca0bfSLingrui98 val store_pc = io.memPredPcRead(s1_redirect_bits_reg.stFtqIdx, s1_redirect_bits_reg.stFtqOffset) 1362b8b2e7aSWilliam Wang 137de169c67SWilliam Wang // update load violation predictor if load violation redirect triggered 138de169c67SWilliam Wang io.memPredUpdate.valid := RegNext(s1_isReplay && s1_redirect_valid_reg, init = false.B) 139de169c67SWilliam Wang // update wait table 140de169c67SWilliam Wang io.memPredUpdate.waddr := RegNext(XORFold(real_pc(VAddrBits-1, 1), MemPredPCWidth)) 141de169c67SWilliam Wang io.memPredUpdate.wdata := true.B 142de169c67SWilliam Wang // update store set 143de169c67SWilliam Wang io.memPredUpdate.ldpc := RegNext(XORFold(real_pc(VAddrBits-1, 1), MemPredPCWidth)) 144de169c67SWilliam Wang // store pc is ready 1 cycle after s1_isReplay is judged 145de169c67SWilliam Wang io.memPredUpdate.stpc := XORFold(store_pc(VAddrBits-1, 1), MemPredPCWidth) 146de169c67SWilliam Wang 147dfde261eSljw val s2_target = RegEnable(target, enable = s1_redirect_valid_reg) 148dfde261eSljw val s2_pd = RegEnable(s1_pd, enable = s1_redirect_valid_reg) 149f06ca0bfSLingrui98 val s2_pc = RegEnable(real_pc, enable = s1_redirect_valid_reg) 150dfde261eSljw val s2_redirect_bits_reg = RegEnable(s1_redirect_bits_reg, enable = s1_redirect_valid_reg) 151dfde261eSljw val s2_redirect_valid_reg = RegNext(s1_redirect_valid_reg && !io.flush, init = false.B) 152dfde261eSljw 153faf3cfa9SLinJiawei io.stage3Redirect.valid := s2_redirect_valid_reg 154faf3cfa9SLinJiawei io.stage3Redirect.bits := s2_redirect_bits_reg 155faf3cfa9SLinJiawei val stage3CfiUpdate = io.stage3Redirect.bits.cfiUpdate 156f06ca0bfSLingrui98 stage3CfiUpdate.pc := s2_pc 157faf3cfa9SLinJiawei stage3CfiUpdate.pd := s2_pd 158cde9280dSLinJiawei stage3CfiUpdate.predTaken := s2_redirect_bits_reg.cfiUpdate.predTaken 159dfde261eSljw stage3CfiUpdate.target := s2_target 160faf3cfa9SLinJiawei stage3CfiUpdate.taken := s2_redirect_bits_reg.cfiUpdate.taken 161faf3cfa9SLinJiawei stage3CfiUpdate.isMisPred := s2_redirect_bits_reg.cfiUpdate.isMisPred 16220edb3f7SWilliam Wang 16320edb3f7SWilliam Wang // recover runahead checkpoint if redirect 16420edb3f7SWilliam Wang if (!env.FPGAPlatform) { 16520edb3f7SWilliam Wang val runahead_redirect = Module(new DifftestRunaheadRedirectEvent) 16620edb3f7SWilliam Wang runahead_redirect.io.clock := clock 1675668a921SJiawei Lin runahead_redirect.io.coreid := io.hartId 16820edb3f7SWilliam Wang runahead_redirect.io.valid := io.stage3Redirect.valid 16920edb3f7SWilliam Wang runahead_redirect.io.pc := s2_pc // for debug only 17020edb3f7SWilliam Wang runahead_redirect.io.target_pc := s2_target // for debug only 17120edb3f7SWilliam Wang runahead_redirect.io.checkpoint_id := io.stage3Redirect.bits.debug_runahead_checkpoint_id // make sure it is right 17220edb3f7SWilliam Wang } 173884dbb3bSLinJiawei} 174884dbb3bSLinJiawei 175*6ab6918fSYinan Xuclass CtrlBlock(implicit p: Parameters) extends LazyModule with HasWritebackSink with HasWritebackSource { 176*6ab6918fSYinan Xu val rob = LazyModule(new Rob) 177*6ab6918fSYinan Xu 178*6ab6918fSYinan Xu override def addWritebackSink(source: Seq[HasWritebackSource], index: Option[Seq[Int]]): HasWritebackSink = { 179*6ab6918fSYinan Xu rob.addWritebackSink(Seq(this), Some(Seq(writebackSinks.length))) 180*6ab6918fSYinan Xu super.addWritebackSink(source, index) 181*6ab6918fSYinan Xu } 182*6ab6918fSYinan Xu 183*6ab6918fSYinan Xu lazy val module = new CtrlBlockImp(this) 184*6ab6918fSYinan Xu 185*6ab6918fSYinan Xu override lazy val writebackSourceParams: Seq[WritebackSourceParams] = { 186*6ab6918fSYinan Xu writebackSinksParams 187*6ab6918fSYinan Xu } 188*6ab6918fSYinan Xu override lazy val writebackSourceImp: HasWritebackSourceImp = module 189*6ab6918fSYinan Xu 190*6ab6918fSYinan Xu override def generateWritebackIO( 191*6ab6918fSYinan Xu thisMod: Option[HasWritebackSource] = None, 192*6ab6918fSYinan Xu thisModImp: Option[HasWritebackSourceImp] = None 193*6ab6918fSYinan Xu ): Unit = { 194*6ab6918fSYinan Xu module.io.writeback.zip(writebackSinksImp(thisMod, thisModImp)).foreach(x => x._1 := x._2) 195*6ab6918fSYinan Xu } 196*6ab6918fSYinan Xu} 197*6ab6918fSYinan Xu 198*6ab6918fSYinan Xuclass CtrlBlockImp(outer: CtrlBlock)(implicit p: Parameters) extends LazyModuleImp(outer) 199*6ab6918fSYinan Xu with HasXSParameter with HasCircularQueuePtrHelper with HasWritebackSourceImp { 200*6ab6918fSYinan Xu val writebackLengths = outer.writebackSinksParams.map(_.length) 201*6ab6918fSYinan Xu 2028921b337SYinan Xu val io = IO(new Bundle { 2035668a921SJiawei Lin val hartId = Input(UInt(8.W)) 2045cbe3dbdSLingrui98 val frontend = Flipped(new FrontendToCtrlIO) 2052b4e8253SYinan Xu val allocPregs = Vec(RenameWidth, Output(new ResetPregStateReq)) 2062b4e8253SYinan Xu val dispatch = Vec(3*dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp)) 20766220144SYinan Xu // from int block 20866220144SYinan Xu val exuRedirect = Vec(exuParameters.AluCnt + exuParameters.JmpCnt, Flipped(ValidIO(new ExuOutput))) 20966220144SYinan Xu val stIn = Vec(exuParameters.StuCnt, Flipped(ValidIO(new ExuInput))) 21066220144SYinan Xu val memoryViolation = Flipped(ValidIO(new Redirect)) 21166220144SYinan Xu val jumpPc = Output(UInt(VAddrBits.W)) 21266220144SYinan Xu val jalr_target = Output(UInt(VAddrBits.W)) 2139aca92b9SYinan Xu val robio = new Bundle { 2141c2588aaSYinan Xu // to int block 2159aca92b9SYinan Xu val toCSR = new RobCSRIO 2163a474d38SYinan Xu val exception = ValidIO(new ExceptionInfo) 2171c2588aaSYinan Xu // to mem block 2189aca92b9SYinan Xu val lsq = new RobLsqIO 2191c2588aaSYinan Xu } 2202b8b2e7aSWilliam Wang val csrCtrl = Input(new CustomCSRCtrlIO) 221edd6ddbcSwakafa val perfInfo = Output(new Bundle{ 222edd6ddbcSwakafa val ctrlInfo = new Bundle { 2239aca92b9SYinan Xu val robFull = Input(Bool()) 224edd6ddbcSwakafa val intdqFull = Input(Bool()) 225edd6ddbcSwakafa val fpdqFull = Input(Bool()) 226edd6ddbcSwakafa val lsdqFull = Input(Bool()) 227edd6ddbcSwakafa } 228edd6ddbcSwakafa }) 229*6ab6918fSYinan Xu val writeback = MixedVec(writebackLengths.map(num => Vec(num, Flipped(ValidIO(new ExuOutput))))) 23066220144SYinan Xu // redirect out 23166220144SYinan Xu val redirect = ValidIO(new Redirect) 23266220144SYinan Xu val debug_int_rat = Vec(32, Output(UInt(PhyRegIdxWidth.W))) 23366220144SYinan Xu val debug_fp_rat = Vec(32, Output(UInt(PhyRegIdxWidth.W))) 2348921b337SYinan Xu }) 2358921b337SYinan Xu 236*6ab6918fSYinan Xu override def writebackSource: Option[Seq[Seq[Valid[ExuOutput]]]] = { 237*6ab6918fSYinan Xu Some(io.writeback.map(writeback => { 238*6ab6918fSYinan Xu val exuOutput = WireInit(writeback) 239*6ab6918fSYinan Xu val timer = GTimer() 240*6ab6918fSYinan Xu for ((wb_next, wb) <- exuOutput.zip(writeback)) { 241*6ab6918fSYinan Xu wb_next.valid := RegNext(wb.valid && !wb.bits.uop.robIdx.needFlush(stage2Redirect)) 242*6ab6918fSYinan Xu wb_next.bits := RegNext(wb.bits) 243*6ab6918fSYinan Xu wb_next.bits.uop.debugInfo.writebackTime := timer 244*6ab6918fSYinan Xu } 245*6ab6918fSYinan Xu exuOutput 246*6ab6918fSYinan Xu })) 247*6ab6918fSYinan Xu } 248*6ab6918fSYinan Xu 2498921b337SYinan Xu val decode = Module(new DecodeStage) 2507fa2c198SYinan Xu val rat = Module(new RenameTableWrapper) 251980c1bc3SWilliam Wang val ssit = Module(new SSIT) 252980c1bc3SWilliam Wang val waittable = Module(new WaitTable) 2538921b337SYinan Xu val rename = Module(new Rename) 254694b0180SLinJiawei val dispatch = Module(new Dispatch) 2552b4e8253SYinan Xu val intDq = Module(new DispatchQueue(dpParams.IntDqSize, RenameWidth, dpParams.IntDqDeqWidth, "int")) 2562b4e8253SYinan Xu val fpDq = Module(new DispatchQueue(dpParams.FpDqSize, RenameWidth, dpParams.FpDqDeqWidth, "fp")) 2572b4e8253SYinan Xu val lsDq = Module(new DispatchQueue(dpParams.LsDqSize, RenameWidth, dpParams.LsDqDeqWidth, "ls")) 258884dbb3bSLinJiawei val redirectGen = Module(new RedirectGenerator) 2598921b337SYinan Xu 260*6ab6918fSYinan Xu val rob = outer.rob.module 2618921b337SYinan Xu 262f4b2089aSYinan Xu val robPcRead = io.frontend.fromFtq.getRobFlushPcRead 263f4b2089aSYinan Xu val flushPC = robPcRead(rob.io.flushOut.bits.ftqIdx, rob.io.flushOut.bits.ftqOffset) 264f4b2089aSYinan Xu 265f4b2089aSYinan Xu val flushRedirect = Wire(Valid(new Redirect)) 266f4b2089aSYinan Xu flushRedirect.valid := RegNext(rob.io.flushOut.valid) 267f4b2089aSYinan Xu flushRedirect.bits := RegEnable(rob.io.flushOut.bits, rob.io.flushOut.valid) 268f4b2089aSYinan Xu flushRedirect.bits.cfiUpdate.target := Mux(io.robio.toCSR.isXRet || rob.io.exception.valid, 269f4b2089aSYinan Xu io.robio.toCSR.trapTarget, 270f4b2089aSYinan Xu Mux(flushRedirect.bits.flushItself(), 271f4b2089aSYinan Xu flushPC, // replay inst 272f4b2089aSYinan Xu flushPC + 4.U // flush pipe 273f4b2089aSYinan Xu ) 274f4b2089aSYinan Xu ) 275f4b2089aSYinan Xu 276f4b2089aSYinan Xu val flushRedirectReg = Wire(Valid(new Redirect)) 277f4b2089aSYinan Xu flushRedirectReg.valid := RegNext(flushRedirect.valid, init = false.B) 278f4b2089aSYinan Xu flushRedirectReg.bits := RegEnable(flushRedirect.bits, enable = flushRedirect.valid) 279f4b2089aSYinan Xu 280f4b2089aSYinan Xu val stage2Redirect = Mux(flushRedirect.valid, flushRedirect, redirectGen.io.stage2Redirect) 281f4b2089aSYinan Xu val stage3Redirect = Mux(flushRedirectReg.valid, flushRedirectReg, redirectGen.io.stage3Redirect) 282faf3cfa9SLinJiawei 28366220144SYinan Xu val exuRedirect = io.exuRedirect.map(x => { 284dfde261eSljw val valid = x.valid && x.bits.redirectValid 285f4b2089aSYinan Xu val killedByOlder = x.bits.uop.robIdx.needFlush(stage2Redirect) 286dfde261eSljw val delayed = Wire(Valid(new ExuOutput)) 287dfde261eSljw delayed.valid := RegNext(valid && !killedByOlder, init = false.B) 288dfde261eSljw delayed.bits := RegEnable(x.bits, x.valid) 289dfde261eSljw delayed 290faf3cfa9SLinJiawei }) 291c1b37c81Sljw val loadReplay = Wire(Valid(new Redirect)) 29266220144SYinan Xu loadReplay.valid := RegNext(io.memoryViolation.valid && 293f4b2089aSYinan Xu !io.memoryViolation.bits.robIdx.needFlush(stage2Redirect), 294c1b37c81Sljw init = false.B 295c1b37c81Sljw ) 29666220144SYinan Xu loadReplay.bits := RegEnable(io.memoryViolation.bits, io.memoryViolation.valid) 297f06ca0bfSLingrui98 io.frontend.fromFtq.getRedirectPcRead <> redirectGen.io.stage1PcRead 298f06ca0bfSLingrui98 io.frontend.fromFtq.getMemPredPcRead <> redirectGen.io.memPredPcRead 2995668a921SJiawei Lin redirectGen.io.hartId := io.hartId 300dfde261eSljw redirectGen.io.exuMispredict <> exuRedirect 301c1b37c81Sljw redirectGen.io.loadReplay <> loadReplay 302f4b2089aSYinan Xu redirectGen.io.flush := RegNext(rob.io.flushOut.valid) 3038921b337SYinan Xu 304884dbb3bSLinJiawei for(i <- 0 until CommitWidth){ 3059aca92b9SYinan Xu io.frontend.toFtq.rob_commits(i).valid := rob.io.commits.valid(i) && !rob.io.commits.isWalk 3069aca92b9SYinan Xu io.frontend.toFtq.rob_commits(i).bits := rob.io.commits.info(i) 307884dbb3bSLinJiawei } 308f06ca0bfSLingrui98 io.frontend.toFtq.stage2Redirect <> stage2Redirect 3099aca92b9SYinan Xu io.frontend.toFtq.robFlush <> RegNext(rob.io.flushOut) 310f4b2089aSYinan Xu io.frontend.toFtq.stage3Redirect := stage3Redirect 31166bcc42fSYinan Xu 3128921b337SYinan Xu decode.io.in <> io.frontend.cfVec 313980c1bc3SWilliam Wang decode.io.csrCtrl := io.csrCtrl 314980c1bc3SWilliam Wang 315980c1bc3SWilliam Wang // memory dependency predict 316980c1bc3SWilliam Wang // when decode, send fold pc to mdp 317980c1bc3SWilliam Wang for (i <- 0 until DecodeWidth) { 318980c1bc3SWilliam Wang val mdp_foldpc = Mux( 319980c1bc3SWilliam Wang decode.io.out(i).fire(), 320980c1bc3SWilliam Wang decode.io.in(i).bits.foldpc, 321980c1bc3SWilliam Wang rename.io.in(i).bits.cf.foldpc 322980c1bc3SWilliam Wang ) 323980c1bc3SWilliam Wang ssit.io.raddr(i) := mdp_foldpc 324980c1bc3SWilliam Wang waittable.io.raddr(i) := mdp_foldpc 325980c1bc3SWilliam Wang } 326980c1bc3SWilliam Wang // currently, we only update mdp info when isReplay 327980c1bc3SWilliam Wang ssit.io.update <> RegNext(redirectGen.io.memPredUpdate) 328980c1bc3SWilliam Wang ssit.io.csrCtrl := RegNext(io.csrCtrl) 329980c1bc3SWilliam Wang waittable.io.update <> RegNext(redirectGen.io.memPredUpdate) 330980c1bc3SWilliam Wang waittable.io.csrCtrl := RegNext(io.csrCtrl) 331980c1bc3SWilliam Wang 332980c1bc3SWilliam Wang // LFST lookup and update 333980c1bc3SWilliam Wang val lfst = Module(new LFST) 334980c1bc3SWilliam Wang lfst.io.redirect <> RegNext(io.redirect) 335980c1bc3SWilliam Wang lfst.io.storeIssue <> RegNext(io.stIn) 336980c1bc3SWilliam Wang lfst.io.csrCtrl <> RegNext(io.csrCtrl) 337980c1bc3SWilliam Wang lfst.io.dispatch <> dispatch.io.lfst 3382b8b2e7aSWilliam Wang 3397fa2c198SYinan Xu rat.io.robCommits := rob.io.commits 3407fa2c198SYinan Xu for ((r, i) <- rat.io.intReadPorts.zipWithIndex) { 3417fa2c198SYinan Xu val raddr = decode.io.out(i).bits.ctrl.lsrc.take(2) :+ decode.io.out(i).bits.ctrl.ldest 3427fa2c198SYinan Xu r.map(_.addr).zip(raddr).foreach(x => x._1 := x._2) 3437fa2c198SYinan Xu rename.io.intReadPorts(i) := r.map(_.data) 3447fa2c198SYinan Xu r.foreach(_.hold := !rename.io.in(i).ready) 3457fa2c198SYinan Xu } 3467fa2c198SYinan Xu rat.io.intRenamePorts := rename.io.intRenamePorts 3477fa2c198SYinan Xu for ((r, i) <- rat.io.fpReadPorts.zipWithIndex) { 3487fa2c198SYinan Xu val raddr = decode.io.out(i).bits.ctrl.lsrc.take(3) :+ decode.io.out(i).bits.ctrl.ldest 3497fa2c198SYinan Xu r.map(_.addr).zip(raddr).foreach(x => x._1 := x._2) 3507fa2c198SYinan Xu rename.io.fpReadPorts(i) := r.map(_.data) 3517fa2c198SYinan Xu r.foreach(_.hold := !rename.io.in(i).ready) 3527fa2c198SYinan Xu } 3537fa2c198SYinan Xu rat.io.fpRenamePorts := rename.io.fpRenamePorts 3547fa2c198SYinan Xu rat.io.debug_int_rat <> io.debug_int_rat 3557fa2c198SYinan Xu rat.io.debug_fp_rat <> io.debug_fp_rat 3560412e00dSLinJiawei 3572b4e8253SYinan Xu // pipeline between decode and rename 358b424051cSYinan Xu for (i <- 0 until RenameWidth) { 359884dbb3bSLinJiawei PipelineConnect(decode.io.out(i), rename.io.in(i), rename.io.in(i).ready, 360f4b2089aSYinan Xu stage2Redirect.valid || stage3Redirect.valid) 361b424051cSYinan Xu } 3628921b337SYinan Xu 363f06ca0bfSLingrui98 rename.io.redirect <> stage2Redirect 3649aca92b9SYinan Xu rename.io.robCommits <> rob.io.commits 365980c1bc3SWilliam Wang rename.io.ssit <> ssit.io.rdata 366980c1bc3SWilliam Wang rename.io.waittable <> RegNext(waittable.io.rdata) 3678921b337SYinan Xu 3682b4e8253SYinan Xu // pipeline between rename and dispatch 3692b4e8253SYinan Xu for (i <- 0 until RenameWidth) { 370f4b2089aSYinan Xu PipelineConnect(rename.io.out(i), dispatch.io.fromRename(i), dispatch.io.recv(i), stage2Redirect.valid) 3712b4e8253SYinan Xu } 3722b4e8253SYinan Xu 3735668a921SJiawei Lin dispatch.io.hartId := io.hartId 374f06ca0bfSLingrui98 dispatch.io.redirect <> stage2Redirect 3759aca92b9SYinan Xu dispatch.io.enqRob <> rob.io.enq 3762b4e8253SYinan Xu dispatch.io.toIntDq <> intDq.io.enq 3772b4e8253SYinan Xu dispatch.io.toFpDq <> fpDq.io.enq 3782b4e8253SYinan Xu dispatch.io.toLsDq <> lsDq.io.enq 3792b4e8253SYinan Xu dispatch.io.allocPregs <> io.allocPregs 3802b4e8253SYinan Xu dispatch.io.singleStep := false.B 3810412e00dSLinJiawei 3822b4e8253SYinan Xu intDq.io.redirect <> stage2Redirect 3832b4e8253SYinan Xu fpDq.io.redirect <> stage2Redirect 3842b4e8253SYinan Xu lsDq.io.redirect <> stage2Redirect 3852b4e8253SYinan Xu 3862b4e8253SYinan Xu io.dispatch <> intDq.io.deq ++ lsDq.io.deq ++ fpDq.io.deq 3873fae98acSYinan Xu 388f973ab00SYinan Xu val pingpong = RegInit(false.B) 389f973ab00SYinan Xu pingpong := !pingpong 390f973ab00SYinan Xu val jumpInst = Mux(pingpong && (exuParameters.AluCnt > 2).B, io.dispatch(2).bits, io.dispatch(0).bits) 3917fa2c198SYinan Xu val jumpPcRead = io.frontend.fromFtq.getJumpPcRead 3927fa2c198SYinan Xu io.jumpPc := jumpPcRead(jumpInst.cf.ftqPtr, jumpInst.cf.ftqOffset) 3937fa2c198SYinan Xu val jumpTargetRead = io.frontend.fromFtq.target_read 3947fa2c198SYinan Xu io.jalr_target := jumpTargetRead(jumpInst.cf.ftqPtr, jumpInst.cf.ftqOffset) 3957fa2c198SYinan Xu 3965668a921SJiawei Lin rob.io.hartId := io.hartId 3979aca92b9SYinan Xu rob.io.redirect <> stage2Redirect 398*6ab6918fSYinan Xu outer.rob.generateWritebackIO(Some(outer), Some(this)) 3990412e00dSLinJiawei 4005cbe3dbdSLingrui98 io.redirect <> stage2Redirect 4010412e00dSLinJiawei 4029aca92b9SYinan Xu // rob to int block 4039aca92b9SYinan Xu io.robio.toCSR <> rob.io.csr 4049aca92b9SYinan Xu io.robio.toCSR.perfinfo.retiredInstr <> RegNext(rob.io.csr.perfinfo.retiredInstr) 4059aca92b9SYinan Xu io.robio.exception := rob.io.exception 4069aca92b9SYinan Xu io.robio.exception.bits.uop.cf.pc := flushPC 4072b4e8253SYinan Xu 4089aca92b9SYinan Xu // rob to mem block 4099aca92b9SYinan Xu io.robio.lsq <> rob.io.lsq 410edd6ddbcSwakafa 4119aca92b9SYinan Xu io.perfInfo.ctrlInfo.robFull := RegNext(rob.io.robFull) 4122b4e8253SYinan Xu io.perfInfo.ctrlInfo.intdqFull := RegNext(intDq.io.dqFull) 4132b4e8253SYinan Xu io.perfInfo.ctrlInfo.fpdqFull := RegNext(fpDq.io.dqFull) 4142b4e8253SYinan Xu io.perfInfo.ctrlInfo.lsdqFull := RegNext(lsDq.io.dqFull) 415cd365d4cSrvcoresjw 416cd365d4cSrvcoresjw val pfevent = Module(new PFEvent) 417cd365d4cSrvcoresjw val csrevents = pfevent.io.hpmevent.slice(8,16) 418cd365d4cSrvcoresjw val perfinfo = IO(new Bundle(){ 419cd365d4cSrvcoresjw val perfEvents = Output(new PerfEventsBundle(csrevents.length)) 420cd365d4cSrvcoresjw val perfEventsRs = Input(new PerfEventsBundle(NumRs)) 421cd365d4cSrvcoresjw val perfEventsEu0 = Input(new PerfEventsBundle(10)) 422cd365d4cSrvcoresjw val perfEventsEu1 = Input(new PerfEventsBundle(10)) 423cd365d4cSrvcoresjw }) 424cd365d4cSrvcoresjw 425cd365d4cSrvcoresjw if(print_perfcounter){ 426cd365d4cSrvcoresjw val decode_perf = decode.perfEvents.map(_._1).zip(decode.perfinfo.perfEvents.perf_events) 427cd365d4cSrvcoresjw val rename_perf = rename.perfEvents.map(_._1).zip(rename.perfinfo.perfEvents.perf_events) 428cd365d4cSrvcoresjw val dispat_perf = dispatch.perfEvents.map(_._1).zip(dispatch.perfinfo.perfEvents.perf_events) 429cd365d4cSrvcoresjw val intdq_perf = intDq.perfEvents.map(_._1).zip(intDq.perfinfo.perfEvents.perf_events) 430cd365d4cSrvcoresjw val fpdq_perf = fpDq.perfEvents.map(_._1).zip(fpDq.perfinfo.perfEvents.perf_events) 431cd365d4cSrvcoresjw val lsdq_perf = lsDq.perfEvents.map(_._1).zip(lsDq.perfinfo.perfEvents.perf_events) 432cd365d4cSrvcoresjw val rob_perf = rob.perfEvents.map(_._1).zip(rob.perfinfo.perfEvents.perf_events) 433cd365d4cSrvcoresjw val perfEvents = decode_perf ++ rename_perf ++ dispat_perf ++ intdq_perf ++ fpdq_perf ++ lsdq_perf ++ rob_perf 434cd365d4cSrvcoresjw 435cd365d4cSrvcoresjw for (((perf_name,perf),i) <- perfEvents.zipWithIndex) { 436cd365d4cSrvcoresjw println(s"ctrl perf $i: $perf_name") 437cd365d4cSrvcoresjw } 438cd365d4cSrvcoresjw } 439cd365d4cSrvcoresjw 440cd365d4cSrvcoresjw val hpmEvents = decode.perfinfo.perfEvents.perf_events ++ rename.perfinfo.perfEvents.perf_events ++ 441cd365d4cSrvcoresjw dispatch.perfinfo.perfEvents.perf_events ++ 442cd365d4cSrvcoresjw intDq.perfinfo.perfEvents.perf_events ++ fpDq.perfinfo.perfEvents.perf_events ++ 443cd365d4cSrvcoresjw lsDq.perfinfo.perfEvents.perf_events ++ rob.perfinfo.perfEvents.perf_events ++ 444cd365d4cSrvcoresjw perfinfo.perfEventsEu0.perf_events ++ perfinfo.perfEventsEu1.perf_events ++ 445cd365d4cSrvcoresjw perfinfo.perfEventsRs.perf_events 446cd365d4cSrvcoresjw 447cd365d4cSrvcoresjw val perf_length = hpmEvents.length 448cd365d4cSrvcoresjw val hpm_ctrl = Module(new HPerfmonitor(perf_length,csrevents.length)) 449cd365d4cSrvcoresjw hpm_ctrl.io.hpm_event := csrevents 450cd365d4cSrvcoresjw hpm_ctrl.io.events_sets.perf_events := hpmEvents 451cd365d4cSrvcoresjw perfinfo.perfEvents := RegNext(hpm_ctrl.io.events_selected) 452cd365d4cSrvcoresjw pfevent.io.distribute_csr := RegNext(io.csrCtrl.distribute_csr) 453*6ab6918fSYinan Xu 4548921b337SYinan Xu} 455