18921b337SYinan Xupackage xiangshan.backend 28921b337SYinan Xu 38921b337SYinan Xuimport chisel3._ 48921b337SYinan Xuimport chisel3.util._ 58921b337SYinan Xuimport xiangshan._ 68921b337SYinan Xuimport xiangshan.backend.decode.{DecodeBuffer, DecodeStage} 78921b337SYinan Xuimport xiangshan.backend.rename.Rename 88921b337SYinan Xuimport xiangshan.backend.brq.Brq 98921b337SYinan Xuimport xiangshan.backend.dispatch.Dispatch 108921b337SYinan Xuimport xiangshan.backend.exu._ 118921b337SYinan Xuimport xiangshan.backend.issue.ReservationStationNew 128921b337SYinan Xuimport xiangshan.backend.regfile.RfReadPort 138921b337SYinan Xuimport xiangshan.backend.roq.{Roq, RoqPtr} 148921b337SYinan Xuimport xiangshan.mem._ 158921b337SYinan Xuimport xiangshan.backend.fu.FunctionUnit._ 168921b337SYinan Xu 178921b337SYinan Xuclass CtrlToIntBlockIO extends XSBundle { 188921b337SYinan Xu val enqIqCtrl = Vec(exuParameters.IntExuCnt, DecoupledIO(new MicroOp)) 198921b337SYinan Xu val enqIqData = Vec(exuParameters.IntExuCnt, Output(new ExuInput)) 202bb6eba1SYinan Xu val readRf = Vec(NRIntReadPorts, Flipped(new RfReadPort)) 21*66bcc42fSYinan Xu val redirect = ValidIO(new Redirect) 228921b337SYinan Xu} 238921b337SYinan Xu 248921b337SYinan Xuclass CtrlToFpBlockIO extends XSBundle { 258921b337SYinan Xu val enqIqCtrl = Vec(exuParameters.FpExuCnt, DecoupledIO(new MicroOp)) 268921b337SYinan Xu val enqIqData = Vec(exuParameters.FpExuCnt, Output(new ExuInput)) 272bb6eba1SYinan Xu val readRf = Vec(NRFpReadPorts, Flipped(new RfReadPort)) 28*66bcc42fSYinan Xu val redirect = ValidIO(new Redirect) 298921b337SYinan Xu} 308921b337SYinan Xu 318921b337SYinan Xuclass CtrlToLsBlockIO extends XSBundle { 328921b337SYinan Xu val enqIqCtrl = Vec(exuParameters.LsExuCnt, DecoupledIO(new MicroOp)) 338921b337SYinan Xu val enqIqData = Vec(exuParameters.LsExuCnt, Output(new ExuInput)) 348921b337SYinan Xu val lsqIdxReq = Vec(RenameWidth, DecoupledIO(new MicroOp)) 35*66bcc42fSYinan Xu val redirect = ValidIO(new Redirect) 368921b337SYinan Xu} 378921b337SYinan Xu 382bb6eba1SYinan Xuclass CtrlBlock 392bb6eba1SYinan Xu( 402bb6eba1SYinan Xu jmpCfg: ExuConfig, 412bb6eba1SYinan Xu aluCfg: ExuConfig, 422bb6eba1SYinan Xu mduCfg: ExuConfig, 432bb6eba1SYinan Xu fmacCfg: ExuConfig, 442bb6eba1SYinan Xu fmiscCfg: ExuConfig, 452bb6eba1SYinan Xu ldCfg: ExuConfig, 462bb6eba1SYinan Xu stCfg: ExuConfig 472bb6eba1SYinan Xu) extends XSModule { 488921b337SYinan Xu val io = IO(new Bundle { 498921b337SYinan Xu val frontend = Flipped(new FrontendToBackendIO) 508921b337SYinan Xu val fromIntBlock = Flipped(new IntBlockToCtrlIO) 518921b337SYinan Xu val fromFpBlock = Flipped(new FpBlockToCtrlIO) 528921b337SYinan Xu val fromLsBlock = Flipped(new LsBlockToCtrlIO) 538921b337SYinan Xu val toIntBlock = new CtrlToIntBlockIO 548921b337SYinan Xu val toFpBlock = new CtrlToFpBlockIO 558921b337SYinan Xu val toLsBlock = new CtrlToLsBlockIO 568921b337SYinan Xu }) 578921b337SYinan Xu 588921b337SYinan Xu val decode = Module(new DecodeStage) 598921b337SYinan Xu val brq = Module(new Brq) 608921b337SYinan Xu val decBuf = Module(new DecodeBuffer) 618921b337SYinan Xu val rename = Module(new Rename) 628921b337SYinan Xu val dispatch = Module(new Dispatch( 632bb6eba1SYinan Xu jmpCfg, aluCfg, mduCfg, 642bb6eba1SYinan Xu fmacCfg, fmiscCfg, 652bb6eba1SYinan Xu ldCfg, stCfg 668921b337SYinan Xu )) 678921b337SYinan Xu // TODO: move busyTable to dispatch1 688921b337SYinan Xu // val fpBusyTable = Module(new BusyTable(NRFpReadPorts, NRFpWritePorts)) 698921b337SYinan Xu // val intBusyTable = Module(new BusyTable(NRIntReadPorts, NRIntWritePorts)) 708921b337SYinan Xu val roq = Module(new Roq) 718921b337SYinan Xu 728921b337SYinan Xu val fromExeBlock = (io.fromIntBlock, io.fromFpBlock, io.fromLsBlock) 738921b337SYinan Xu val toExeBlock = (io.toIntBlock, io.toFpBlock, io.toLsBlock) 748921b337SYinan Xu 758921b337SYinan Xu val redirect = Mux( 768921b337SYinan Xu roq.io.redirect.valid, 778921b337SYinan Xu roq.io.redirect, 788921b337SYinan Xu Mux( 798921b337SYinan Xu brq.io.redirect.valid, 808921b337SYinan Xu brq.io.redirect, 818921b337SYinan Xu io.fromLsBlock.replay 828921b337SYinan Xu ) 838921b337SYinan Xu ) 848921b337SYinan Xu 85*66bcc42fSYinan Xu io.frontend.redirect := redirect 86*66bcc42fSYinan Xu io.frontend.redirect.valid := redirect.valid && !redirect.bits.isReplay 87*66bcc42fSYinan Xu io.frontend.outOfOrderBrInfo <> brq.io.outOfOrderBrInfo 88*66bcc42fSYinan Xu io.frontend.inOrderBrInfo <> brq.io.inOrderBrInfo 89*66bcc42fSYinan Xu io.frontend.sfence <> io.fromIntBlock.sfence 90*66bcc42fSYinan Xu io.frontend.tlbCsrIO <> io.fromIntBlock.tlbCsrIO 91*66bcc42fSYinan Xu 928921b337SYinan Xu decode.io.in <> io.frontend.cfVec 938921b337SYinan Xu decode.io.toBrq <> brq.io.enqReqs 948921b337SYinan Xu decode.io.brTags <> brq.io.brTags 958921b337SYinan Xu decode.io.out <> decBuf.io.in 968921b337SYinan Xu 978921b337SYinan Xu decBuf.io.isWalking := roq.io.commits(0).valid && roq.io.commits(0).bits.isWalk 988921b337SYinan Xu decBuf.io.redirect <> redirect 998921b337SYinan Xu decBuf.io.out <> rename.io.in 1008921b337SYinan Xu 1018921b337SYinan Xu rename.io.redirect <> redirect 1028921b337SYinan Xu rename.io.roqCommits <> roq.io.commits 1038921b337SYinan Xu // they should be moved to busytables 1048921b337SYinan Xu rename.io.wbIntResults <> io.fromIntBlock.wbIntRegs ++ io.fromFpBlock.wbIntRegs ++ io.fromLsBlock.wbIntRegs 1058921b337SYinan Xu rename.io.wbFpResults <> io.fromIntBlock.wbFpRegs ++ io.fromFpBlock.wbFpRegs ++ io.fromLsBlock.wbFpRegs 1068921b337SYinan Xu rename.io.intRfReadAddr <> dispatch.io.readIntRf.map(_.addr) 1078921b337SYinan Xu rename.io.fpRfReadAddr <> dispatch.io.readFpRf.map(_.addr) 1088921b337SYinan Xu rename.io.intPregRdy <> dispatch.io.intPregRdy 1098921b337SYinan Xu rename.io.fpPregRdy <> dispatch.io.fpPregRdy 1108921b337SYinan Xu rename.io.replayPregReq <> dispatch.io.replayPregReq 1118921b337SYinan Xu rename.io.out <> dispatch.io.fromRename 1128921b337SYinan Xu 1138921b337SYinan Xu dispatch.io.redirect <> redirect 1148921b337SYinan Xu dispatch.io.toRoq <> roq.io.dp1Req 1158921b337SYinan Xu dispatch.io.roqIdxs <> roq.io.roqIdxs 1168921b337SYinan Xu dispatch.io.toLsroq <> io.toLsBlock.lsqIdxReq 1178921b337SYinan Xu dispatch.io.lsIdxs <> io.fromLsBlock.lsqIdxResp 1188921b337SYinan Xu dispatch.io.dequeueRoqIndex.valid := roq.io.commitRoqIndex.valid || io.fromLsBlock.oldestStore.valid 1192bb6eba1SYinan Xu dispatch.io.dequeueRoqIndex.bits := Mux(io.fromLsBlock.oldestStore.valid, io.fromLsBlock.oldestStore.bits, roq.io.commitRoqIndex.bits) 1202bb6eba1SYinan Xu dispatch.io.readIntRf <> io.toIntBlock.readRf 1212bb6eba1SYinan Xu dispatch.io.readFpRf <> io.toFpBlock.readRf 1228921b337SYinan Xu dispatch.io.numExist <> io.fromIntBlock.numExist ++ io.fromFpBlock.numExist ++ io.fromLsBlock.numExist 1232bb6eba1SYinan Xu dispatch.io.enqIQCtrl <> io.toIntBlock.enqIqCtrl ++ io.toFpBlock.enqIqCtrl ++ io.toLsBlock.enqIqCtrl 1242bb6eba1SYinan Xu dispatch.io.enqIQData <> io.toIntBlock.enqIqData ++ io.toFpBlock.enqIqData ++ io.toLsBlock.enqIqData 1258921b337SYinan Xu 1268921b337SYinan Xu // val flush = redirect.valid && (redirect.bits.isException || redirect.bits.isFlushPipe) 1278921b337SYinan Xu // fpBusyTable.flush := flush 1288921b337SYinan Xu // intBusyTable.flush := flush 1298921b337SYinan Xu // busytable io 1308921b337SYinan Xu // maybe update busytable in dispatch1? 1318921b337SYinan Xu 1328921b337SYinan Xu} 133