18921b337SYinan Xupackage xiangshan.backend 28921b337SYinan Xu 38921b337SYinan Xuimport chisel3._ 48921b337SYinan Xuimport chisel3.util._ 521732575SYinan Xuimport utils._ 68921b337SYinan Xuimport xiangshan._ 7b424051cSYinan Xuimport xiangshan.backend.decode.DecodeStage 88926ac22SLinJiaweiimport xiangshan.backend.rename.{BusyTable, Rename} 98921b337SYinan Xuimport xiangshan.backend.dispatch.Dispatch 108921b337SYinan Xuimport xiangshan.backend.exu._ 11694b0180SLinJiaweiimport xiangshan.backend.exu.Exu.exuConfigs 12884dbb3bSLinJiaweiimport xiangshan.backend.ftq.{Ftq, FtqRead, GetPcByFtq} 138921b337SYinan Xuimport xiangshan.backend.regfile.RfReadPort 148926ac22SLinJiaweiimport xiangshan.backend.roq.{Roq, RoqCSRIO, RoqPtr} 15780ade3fSYinan Xuimport xiangshan.mem.LsqEnqIO 168921b337SYinan Xu 178921b337SYinan Xuclass CtrlToIntBlockIO extends XSBundle { 188921b337SYinan Xu val enqIqCtrl = Vec(exuParameters.IntExuCnt, DecoupledIO(new MicroOp)) 19ebd10a1fSYinan Xu val readRf = Vec(NRIntReadPorts, Flipped(new RfReadPort(XLEN))) 208926ac22SLinJiawei val jumpPc = Output(UInt(VAddrBits.W)) 21cde9280dSLinJiawei val jalr_target = Output(UInt(VAddrBits.W)) 2282f87dffSYikeZhou // int block only uses port 0~7 2382f87dffSYikeZhou val readPortIndex = Vec(exuParameters.IntExuCnt, Output(UInt(log2Ceil(8 / 2).W))) // TODO parameterize 8 here 2466bcc42fSYinan Xu val redirect = ValidIO(new Redirect) 258921b337SYinan Xu} 268921b337SYinan Xu 278921b337SYinan Xuclass CtrlToFpBlockIO extends XSBundle { 288921b337SYinan Xu val enqIqCtrl = Vec(exuParameters.FpExuCnt, DecoupledIO(new MicroOp)) 29ebd10a1fSYinan Xu val readRf = Vec(NRFpReadPorts, Flipped(new RfReadPort(XLEN + 1))) 3082f87dffSYikeZhou // fp block uses port 0~11 3182f87dffSYikeZhou val readPortIndex = Vec(exuParameters.FpExuCnt, Output(UInt(log2Ceil((NRFpReadPorts - exuParameters.StuCnt) / 3).W))) 3266bcc42fSYinan Xu val redirect = ValidIO(new Redirect) 338921b337SYinan Xu} 348921b337SYinan Xu 358921b337SYinan Xuclass CtrlToLsBlockIO extends XSBundle { 368921b337SYinan Xu val enqIqCtrl = Vec(exuParameters.LsExuCnt, DecoupledIO(new MicroOp)) 37780ade3fSYinan Xu val enqLsq = Flipped(new LsqEnqIO) 3866bcc42fSYinan Xu val redirect = ValidIO(new Redirect) 398921b337SYinan Xu} 408921b337SYinan Xu 41faf3cfa9SLinJiaweiclass RedirectGenerator extends XSModule with HasCircularQueuePtrHelper { 42884dbb3bSLinJiawei val io = IO(new Bundle() { 43884dbb3bSLinJiawei val loadRelay = Flipped(ValidIO(new Redirect)) 44884dbb3bSLinJiawei val exuMispredict = Vec(exuParameters.JmpCnt + exuParameters.AluCnt, Flipped(ValidIO(new ExuOutput))) 45884dbb3bSLinJiawei val roqRedirect = Flipped(ValidIO(new Redirect)) 4636d7aed5SLinJiawei val stage2FtqRead = new FtqRead 47884dbb3bSLinJiawei val stage2Redirect = ValidIO(new Redirect) 48faf3cfa9SLinJiawei val stage3Redirect = ValidIO(new Redirect) 49884dbb3bSLinJiawei }) 50884dbb3bSLinJiawei /* 51884dbb3bSLinJiawei LoadQueue Jump ALU0 ALU1 ALU2 ALU3 exception Stage1 52884dbb3bSLinJiawei | | | | | | | 53faf3cfa9SLinJiawei |============= reg & compare =====| | ======== 5436d7aed5SLinJiawei | | 5536d7aed5SLinJiawei | | 5636d7aed5SLinJiawei | | Stage2 57884dbb3bSLinJiawei | | 58884dbb3bSLinJiawei redirect (flush backend) | 59884dbb3bSLinJiawei | | 60884dbb3bSLinJiawei === reg === | ======== 61884dbb3bSLinJiawei | | 62884dbb3bSLinJiawei |----- mux (exception first) -----| Stage3 63884dbb3bSLinJiawei | 64884dbb3bSLinJiawei redirect (send to frontend) 65884dbb3bSLinJiawei */ 66faf3cfa9SLinJiawei def selectOlderRedirect(x: Valid[Redirect], y: Valid[Redirect]): Valid[Redirect] = { 67*6060732cSLinJiawei Mux(x.valid, 68*6060732cSLinJiawei Mux(y.valid, 69*6060732cSLinJiawei Mux(isAfter(x.bits.roqIdx, y.bits.roqIdx), y, x), 70*6060732cSLinJiawei x 71*6060732cSLinJiawei ), 72*6060732cSLinJiawei y 73*6060732cSLinJiawei ) 74faf3cfa9SLinJiawei } 75faf3cfa9SLinJiawei def selectOlderExuOut(x: Valid[ExuOutput], y: Valid[ExuOutput]): Valid[ExuOutput] = { 76*6060732cSLinJiawei Mux(x.valid, 77*6060732cSLinJiawei Mux(y.valid, 78*6060732cSLinJiawei Mux(isAfter(x.bits.redirect.roqIdx, y.bits.redirect.roqIdx), y, x), 79*6060732cSLinJiawei x 80*6060732cSLinJiawei ), 81*6060732cSLinJiawei y 82*6060732cSLinJiawei ) 83faf3cfa9SLinJiawei } 84faf3cfa9SLinJiawei val jumpOut = io.exuMispredict.head 85faf3cfa9SLinJiawei val oldestAluOut = ParallelOperation(io.exuMispredict.tail, selectOlderExuOut) 86faf3cfa9SLinJiawei val oldestExuOut = selectOlderExuOut(oldestAluOut, jumpOut) // select between jump and alu 87faf3cfa9SLinJiawei 88faf3cfa9SLinJiawei val oldestMispredict = selectOlderRedirect(io.loadRelay, { 89faf3cfa9SLinJiawei val redirect = Wire(Valid(new Redirect)) 90faf3cfa9SLinJiawei redirect.valid := oldestExuOut.valid 91faf3cfa9SLinJiawei redirect.bits := oldestExuOut.bits.redirect 92faf3cfa9SLinJiawei redirect 93faf3cfa9SLinJiawei }) 94faf3cfa9SLinJiawei 95*6060732cSLinJiawei val s1_isJump = RegNext(jumpOut.valid && !oldestAluOut.valid, init = false.B) 96*6060732cSLinJiawei val s1_jumpTarget = RegEnable(jumpOut.bits.redirect.cfiUpdate.target, jumpOut.valid) 97faf3cfa9SLinJiawei val s1_imm12_reg = RegEnable(oldestExuOut.bits.uop.ctrl.imm(11, 0), oldestExuOut.valid) 98faf3cfa9SLinJiawei val s1_pd = RegEnable(oldestExuOut.bits.uop.cf.pd, oldestExuOut.valid) 99faf3cfa9SLinJiawei val s1_redirect_bits_reg = Reg(new Redirect) 100faf3cfa9SLinJiawei val s1_redirect_valid_reg = RegInit(false.B) 101faf3cfa9SLinJiawei 102faf3cfa9SLinJiawei // stage1 -> stage2 103faf3cfa9SLinJiawei when(oldestMispredict.valid && !oldestMispredict.bits.roqIdx.needFlush(io.stage2Redirect)){ 104faf3cfa9SLinJiawei s1_redirect_bits_reg := oldestMispredict.bits 105faf3cfa9SLinJiawei s1_redirect_valid_reg := true.B 106faf3cfa9SLinJiawei }.otherwise({ 107faf3cfa9SLinJiawei s1_redirect_valid_reg := false.B 108faf3cfa9SLinJiawei }) 109faf3cfa9SLinJiawei io.stage2Redirect.valid := s1_redirect_valid_reg 110faf3cfa9SLinJiawei io.stage2Redirect.bits := s1_redirect_bits_reg 111faf3cfa9SLinJiawei io.stage2Redirect.bits.cfiUpdate := DontCare 112faf3cfa9SLinJiawei // at stage2, we read ftq to get pc 113faf3cfa9SLinJiawei io.stage2FtqRead.ptr := s1_redirect_bits_reg.ftqIdx 114faf3cfa9SLinJiawei 115faf3cfa9SLinJiawei // stage3, calculate redirect target 116*6060732cSLinJiawei val s2_isJump = RegNext(s1_isJump) 117*6060732cSLinJiawei val s2_jumpTarget = RegEnable(s1_jumpTarget, s1_redirect_valid_reg) 118faf3cfa9SLinJiawei val s2_imm12_reg = RegEnable(s1_imm12_reg, s1_redirect_valid_reg) 119faf3cfa9SLinJiawei val s2_pd = RegEnable(s1_pd, s1_redirect_valid_reg) 120*6060732cSLinJiawei val s2_redirect_bits_reg = RegEnable(s1_redirect_bits_reg, enable = s1_redirect_valid_reg) 121*6060732cSLinJiawei val s2_redirect_valid_reg = RegNext(s1_redirect_valid_reg, init = false.B) 122faf3cfa9SLinJiawei 123faf3cfa9SLinJiawei val ftqRead = io.stage2FtqRead.entry 124faf3cfa9SLinJiawei val pc = GetPcByFtq(ftqRead.ftqPC, s2_redirect_bits_reg.ftqOffset) 125faf3cfa9SLinJiawei val brTarget = pc + SignExt(s2_imm12_reg, XLEN) 126*6060732cSLinJiawei val snpc = pc + Mux(s2_pd.isRVC, 2.U, 4.U) 127faf3cfa9SLinJiawei val isReplay = RedirectLevel.flushItself(s2_redirect_bits_reg.level) 128faf3cfa9SLinJiawei val target = Mux(isReplay, 129faf3cfa9SLinJiawei pc, // repaly from itself 130*6060732cSLinJiawei Mux(s2_redirect_bits_reg.cfiUpdate.taken, 131*6060732cSLinJiawei Mux(s2_isJump, s2_jumpTarget, brTarget), 132*6060732cSLinJiawei snpc 133faf3cfa9SLinJiawei ) 134faf3cfa9SLinJiawei ) 135faf3cfa9SLinJiawei io.stage3Redirect.valid := s2_redirect_valid_reg 136faf3cfa9SLinJiawei io.stage3Redirect.bits := s2_redirect_bits_reg 137faf3cfa9SLinJiawei val stage3CfiUpdate = io.stage3Redirect.bits.cfiUpdate 138faf3cfa9SLinJiawei stage3CfiUpdate.pc := pc 139faf3cfa9SLinJiawei stage3CfiUpdate.pd := s2_pd 140faf3cfa9SLinJiawei stage3CfiUpdate.rasSp := ftqRead.rasSp 141faf3cfa9SLinJiawei stage3CfiUpdate.rasEntry := ftqRead.rasTop 142faf3cfa9SLinJiawei stage3CfiUpdate.hist := ftqRead.hist 143faf3cfa9SLinJiawei stage3CfiUpdate.predHist := ftqRead.predHist 144744c623cSLingrui98 stage3CfiUpdate.specCnt := ftqRead.specCnt(s2_redirect_bits_reg.ftqOffset) 145cde9280dSLinJiawei stage3CfiUpdate.predTaken := s2_redirect_bits_reg.cfiUpdate.predTaken 146faf3cfa9SLinJiawei stage3CfiUpdate.sawNotTakenBranch := VecInit((0 until PredictWidth).map{ i => 147744c623cSLingrui98 if(i == 0) false.B else Cat(ftqRead.br_mask.take(i)).orR() 148faf3cfa9SLinJiawei })(s2_redirect_bits_reg.ftqOffset) 149faf3cfa9SLinJiawei stage3CfiUpdate.target := target 150faf3cfa9SLinJiawei stage3CfiUpdate.taken := s2_redirect_bits_reg.cfiUpdate.taken 151faf3cfa9SLinJiawei stage3CfiUpdate.isMisPred := s2_redirect_bits_reg.cfiUpdate.isMisPred 152884dbb3bSLinJiawei} 153884dbb3bSLinJiawei 15421732575SYinan Xuclass CtrlBlock extends XSModule with HasCircularQueuePtrHelper { 1558921b337SYinan Xu val io = IO(new Bundle { 1568921b337SYinan Xu val frontend = Flipped(new FrontendToBackendIO) 1578921b337SYinan Xu val fromIntBlock = Flipped(new IntBlockToCtrlIO) 1588921b337SYinan Xu val fromFpBlock = Flipped(new FpBlockToCtrlIO) 1598921b337SYinan Xu val fromLsBlock = Flipped(new LsBlockToCtrlIO) 1608921b337SYinan Xu val toIntBlock = new CtrlToIntBlockIO 1618921b337SYinan Xu val toFpBlock = new CtrlToFpBlockIO 1628921b337SYinan Xu val toLsBlock = new CtrlToLsBlockIO 1631c2588aaSYinan Xu val roqio = new Bundle { 1641c2588aaSYinan Xu // to int block 1651c2588aaSYinan Xu val toCSR = new RoqCSRIO 1661c2588aaSYinan Xu val exception = ValidIO(new MicroOp) 1671c2588aaSYinan Xu val isInterrupt = Output(Bool()) 1681c2588aaSYinan Xu // to mem block 16921e7a6c5SYinan Xu val commits = new RoqCommitIO 1701c2588aaSYinan Xu val roqDeqPtr = Output(new RoqPtr) 1711c2588aaSYinan Xu } 1728921b337SYinan Xu }) 1738921b337SYinan Xu 174884dbb3bSLinJiawei val ftq = Module(new Ftq) 1758921b337SYinan Xu val decode = Module(new DecodeStage) 1768921b337SYinan Xu val rename = Module(new Rename) 177694b0180SLinJiawei val dispatch = Module(new Dispatch) 1783fae98acSYinan Xu val intBusyTable = Module(new BusyTable(NRIntReadPorts, NRIntWritePorts)) 1793fae98acSYinan Xu val fpBusyTable = Module(new BusyTable(NRFpReadPorts, NRFpWritePorts)) 180884dbb3bSLinJiawei val redirectGen = Module(new RedirectGenerator) 1818921b337SYinan Xu 182884dbb3bSLinJiawei val roqWbSize = NRIntWritePorts + NRFpWritePorts + exuParameters.StuCnt 183694b0180SLinJiawei 184694b0180SLinJiawei val roq = Module(new Roq(roqWbSize)) 1858921b337SYinan Xu 186884dbb3bSLinJiawei val backendRedirect = redirectGen.io.stage2Redirect 187faf3cfa9SLinJiawei val frontendRedirect = redirectGen.io.stage3Redirect 188faf3cfa9SLinJiawei 189faf3cfa9SLinJiawei redirectGen.io.exuMispredict.zip(io.fromIntBlock.exuRedirect).map({case (x, y) => 190faf3cfa9SLinJiawei x.valid := y.valid && y.bits.redirect.cfiUpdate.isMisPred 191faf3cfa9SLinJiawei x.bits := y.bits 192faf3cfa9SLinJiawei }) 193faf3cfa9SLinJiawei redirectGen.io.loadRelay := io.fromLsBlock.replay 194faf3cfa9SLinJiawei redirectGen.io.roqRedirect := roq.io.redirectOut 1958921b337SYinan Xu 196884dbb3bSLinJiawei ftq.io.enq <> io.frontend.fetchInfo 197884dbb3bSLinJiawei for(i <- 0 until CommitWidth){ 198*6060732cSLinJiawei ftq.io.roq_commits(i).valid := roq.io.commits.valid(i) && !roq.io.commits.isWalk 199884dbb3bSLinJiawei ftq.io.roq_commits(i).bits := roq.io.commits.info(i) 200884dbb3bSLinJiawei } 201884dbb3bSLinJiawei ftq.io.redirect <> backendRedirect 202faf3cfa9SLinJiawei ftq.io.frontendRedirect <> frontendRedirect 203884dbb3bSLinJiawei ftq.io.exuWriteback <> io.fromIntBlock.exuRedirect 204884dbb3bSLinJiawei 20536d7aed5SLinJiawei ftq.io.ftqRead(1) <> redirectGen.io.stage2FtqRead 20636d7aed5SLinJiawei ftq.io.ftqRead(2) <> DontCare // TODO: read exception pc form here 207884dbb3bSLinJiawei 208884dbb3bSLinJiawei io.frontend.redirect_cfiUpdate := frontendRedirect 20903380706SLinJiawei io.frontend.commit_cfiUpdate := ftq.io.commit_ftqEntry 210fc4776e4SLinJiawei io.frontend.ftqEnqPtr := ftq.io.enqPtr 211fc4776e4SLinJiawei io.frontend.ftqLeftOne := ftq.io.leftOne 21266bcc42fSYinan Xu 2138921b337SYinan Xu decode.io.in <> io.frontend.cfVec 2148921b337SYinan Xu 215884dbb3bSLinJiawei val jumpInst = dispatch.io.enqIQCtrl(0).bits 216*6060732cSLinJiawei val ftqOffsetReg = Reg(UInt(log2Up(PredictWidth).W)) 217*6060732cSLinJiawei ftqOffsetReg := jumpInst.cf.ftqOffset 218884dbb3bSLinJiawei ftq.io.ftqRead(0).ptr := jumpInst.cf.ftqPtr // jump 219*6060732cSLinJiawei io.toIntBlock.jumpPc := GetPcByFtq(ftq.io.ftqRead(0).entry.ftqPC, ftqOffsetReg) 220148ba860SLinJiawei io.toIntBlock.jalr_target := ftq.io.ftqRead(0).entry.target 2210412e00dSLinJiawei 222b424051cSYinan Xu // pipeline between decode and dispatch 223b424051cSYinan Xu for (i <- 0 until RenameWidth) { 224884dbb3bSLinJiawei PipelineConnect(decode.io.out(i), rename.io.in(i), rename.io.in(i).ready, 225884dbb3bSLinJiawei backendRedirect.valid || frontendRedirect.valid) 226b424051cSYinan Xu } 2278921b337SYinan Xu 228884dbb3bSLinJiawei rename.io.redirect <> backendRedirect 2298921b337SYinan Xu rename.io.roqCommits <> roq.io.commits 2308921b337SYinan Xu rename.io.out <> dispatch.io.fromRename 23199b8dc2cSYinan Xu rename.io.renameBypass <> dispatch.io.renameBypass 2328921b337SYinan Xu 233884dbb3bSLinJiawei dispatch.io.redirect <> backendRedirect 23421b47d38SYinan Xu dispatch.io.enqRoq <> roq.io.enq 23508fafef0SYinan Xu dispatch.io.enqLsq <> io.toLsBlock.enqLsq 2362bb6eba1SYinan Xu dispatch.io.readIntRf <> io.toIntBlock.readRf 2372bb6eba1SYinan Xu dispatch.io.readFpRf <> io.toFpBlock.readRf 2383fae98acSYinan Xu dispatch.io.allocPregs.zipWithIndex.foreach { case (preg, i) => 2393fae98acSYinan Xu intBusyTable.io.allocPregs(i).valid := preg.isInt 2401c931a03SYinan Xu fpBusyTable.io.allocPregs(i).valid := preg.isFp 2413fae98acSYinan Xu intBusyTable.io.allocPregs(i).bits := preg.preg 2423fae98acSYinan Xu fpBusyTable.io.allocPregs(i).bits := preg.preg 2433fae98acSYinan Xu } 2448921b337SYinan Xu dispatch.io.numExist <> io.fromIntBlock.numExist ++ io.fromFpBlock.numExist ++ io.fromLsBlock.numExist 2452bb6eba1SYinan Xu dispatch.io.enqIQCtrl <> io.toIntBlock.enqIqCtrl ++ io.toFpBlock.enqIqCtrl ++ io.toLsBlock.enqIqCtrl 24676e1d2a4SYikeZhou// dispatch.io.enqIQData <> io.toIntBlock.enqIqData ++ io.toFpBlock.enqIqData ++ io.toLsBlock.enqIqData 2478921b337SYinan Xu 2480412e00dSLinJiawei 249884dbb3bSLinJiawei val flush = backendRedirect.valid && RedirectLevel.isUnconditional(backendRedirect.bits.level) 2503fae98acSYinan Xu fpBusyTable.io.flush := flush 2513fae98acSYinan Xu intBusyTable.io.flush := flush 2523fae98acSYinan Xu for((wb, setPhyRegRdy) <- io.fromIntBlock.wbRegs.zip(intBusyTable.io.wbPregs)){ 2531e2ad30cSYinan Xu setPhyRegRdy.valid := wb.valid && wb.bits.uop.ctrl.rfWen 2543fae98acSYinan Xu setPhyRegRdy.bits := wb.bits.uop.pdest 2553fae98acSYinan Xu } 2563fae98acSYinan Xu for((wb, setPhyRegRdy) <- io.fromFpBlock.wbRegs.zip(fpBusyTable.io.wbPregs)){ 2573fae98acSYinan Xu setPhyRegRdy.valid := wb.valid && wb.bits.uop.ctrl.fpWen 2583fae98acSYinan Xu setPhyRegRdy.bits := wb.bits.uop.pdest 2593fae98acSYinan Xu } 2603fae98acSYinan Xu intBusyTable.io.rfReadAddr <> dispatch.io.readIntRf.map(_.addr) 2613fae98acSYinan Xu intBusyTable.io.pregRdy <> dispatch.io.intPregRdy 2623fae98acSYinan Xu fpBusyTable.io.rfReadAddr <> dispatch.io.readFpRf.map(_.addr) 2633fae98acSYinan Xu fpBusyTable.io.pregRdy <> dispatch.io.fpPregRdy 2643fae98acSYinan Xu 265884dbb3bSLinJiawei roq.io.redirect <> backendRedirect 266c778d2afSLinJiawei roq.io.exeWbResults.zip( 2670412e00dSLinJiawei io.fromIntBlock.wbRegs ++ io.fromFpBlock.wbRegs ++ io.fromLsBlock.stOut 2680412e00dSLinJiawei ).foreach{ 2690412e00dSLinJiawei case(x, y) => 2700412e00dSLinJiawei x.bits := y.bits 271884dbb3bSLinJiawei x.valid := y.valid 2720412e00dSLinJiawei } 2730412e00dSLinJiawei 274884dbb3bSLinJiawei // TODO: is 'backendRedirect' necesscary? 275884dbb3bSLinJiawei io.toIntBlock.redirect <> backendRedirect 276884dbb3bSLinJiawei io.toFpBlock.redirect <> backendRedirect 277884dbb3bSLinJiawei io.toLsBlock.redirect <> backendRedirect 2780412e00dSLinJiawei 2799916fbd7SYikeZhou dispatch.io.readPortIndex.intIndex <> io.toIntBlock.readPortIndex 2809916fbd7SYikeZhou dispatch.io.readPortIndex.fpIndex <> io.toFpBlock.readPortIndex 2819916fbd7SYikeZhou 2821c2588aaSYinan Xu // roq to int block 2831c2588aaSYinan Xu io.roqio.toCSR <> roq.io.csr 284edf53867SYinan Xu io.roqio.exception.valid := roq.io.redirectOut.valid && roq.io.redirectOut.bits.isException() 2851c2588aaSYinan Xu io.roqio.exception.bits := roq.io.exception 286edf53867SYinan Xu io.roqio.isInterrupt := roq.io.redirectOut.bits.interrupt 2871c2588aaSYinan Xu // roq to mem block 2881c2588aaSYinan Xu io.roqio.roqDeqPtr := roq.io.roqDeqPtr 2891c2588aaSYinan Xu io.roqio.commits := roq.io.commits 2908921b337SYinan Xu} 291