1c6d43980SLemover/*************************************************************************************** 2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4c6d43980SLemover* 5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 8c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 9c6d43980SLemover* 10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13c6d43980SLemover* 14c6d43980SLemover* See the Mulan PSL v2 for more details. 15c6d43980SLemover***************************************************************************************/ 16c6d43980SLemover 178921b337SYinan Xupackage xiangshan.backend 188921b337SYinan Xu 192225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters 208921b337SYinan Xuimport chisel3._ 218921b337SYinan Xuimport chisel3.util._ 226ab6918fSYinan Xuimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 2321732575SYinan Xuimport utils._ 24*3c02ee8fSwakafaimport utility._ 258921b337SYinan Xuimport xiangshan._ 260febc381SYinan Xuimport xiangshan.backend.decode.{DecodeStage, FusionDecoder, ImmUnion} 271cee9cb8SYinan Xuimport xiangshan.backend.dispatch.{Dispatch, Dispatch2Rs, DispatchQueue} 286ab6918fSYinan Xuimport xiangshan.backend.fu.PFEvent 297fa2c198SYinan Xuimport xiangshan.backend.rename.{Rename, RenameTableWrapper} 302b4e8253SYinan Xuimport xiangshan.backend.rob.{Rob, RobCSRIO, RobLsqIO} 31b56f947eSYinan Xuimport xiangshan.frontend.{FtqRead, Ftq_RF_Components} 326ab6918fSYinan Xuimport xiangshan.mem.mdp.{LFST, SSIT, WaitTable} 330febc381SYinan Xuimport xiangshan.ExceptionNO._ 341cee9cb8SYinan Xuimport xiangshan.backend.exu.ExuConfig 351cee9cb8SYinan Xuimport xiangshan.mem.{LsqEnqCtrl, LsqEnqIO} 368921b337SYinan Xu 37f06ca0bfSLingrui98class CtrlToFtqIO(implicit p: Parameters) extends XSBundle { 382e1be6e1SSteve Gou def numRedirect = exuParameters.JmpCnt + exuParameters.AluCnt 399aca92b9SYinan Xu val rob_commits = Vec(CommitWidth, Valid(new RobCommitInfo)) 40df5b4b8eSYinan Xu val redirect = Valid(new Redirect) 41f06ca0bfSLingrui98} 42f06ca0bfSLingrui98 432225d46eSJiawei Linclass RedirectGenerator(implicit p: Parameters) extends XSModule 44f06ca0bfSLingrui98 with HasCircularQueuePtrHelper { 452e1be6e1SSteve Gou 462e1be6e1SSteve Gou class RedirectGeneratorIO(implicit p: Parameters) extends XSBundle { 472e1be6e1SSteve Gou def numRedirect = exuParameters.JmpCnt + exuParameters.AluCnt 485668a921SJiawei Lin val hartId = Input(UInt(8.W)) 49dfde261eSljw val exuMispredict = Vec(numRedirect, Flipped(ValidIO(new ExuOutput))) 506c0bbf39Sljw val loadReplay = Flipped(ValidIO(new Redirect)) 519ed972adSLinJiawei val flush = Input(Bool()) 52b56f947eSYinan Xu val redirectPcRead = new FtqRead(UInt(VAddrBits.W)) 53884dbb3bSLinJiawei val stage2Redirect = ValidIO(new Redirect) 54faf3cfa9SLinJiawei val stage3Redirect = ValidIO(new Redirect) 55de169c67SWilliam Wang val memPredUpdate = Output(new MemPredUpdateReq) 56e7b046c5Szoujr val memPredPcRead = new FtqRead(UInt(VAddrBits.W)) // read req send form stage 2 57eb163ef0SHaojin Tang val isMisspreRedirect = Output(Bool()) 582e1be6e1SSteve Gou } 592e1be6e1SSteve Gou val io = IO(new RedirectGeneratorIO) 60884dbb3bSLinJiawei /* 61884dbb3bSLinJiawei LoadQueue Jump ALU0 ALU1 ALU2 ALU3 exception Stage1 62884dbb3bSLinJiawei | | | | | | | 63faf3cfa9SLinJiawei |============= reg & compare =====| | ======== 6436d7aed5SLinJiawei | | 6536d7aed5SLinJiawei | | 6636d7aed5SLinJiawei | | Stage2 67884dbb3bSLinJiawei | | 68884dbb3bSLinJiawei redirect (flush backend) | 69884dbb3bSLinJiawei | | 70884dbb3bSLinJiawei === reg === | ======== 71884dbb3bSLinJiawei | | 72884dbb3bSLinJiawei |----- mux (exception first) -----| Stage3 73884dbb3bSLinJiawei | 74884dbb3bSLinJiawei redirect (send to frontend) 75884dbb3bSLinJiawei */ 76435a337cSYinan Xu def selectOldestRedirect(xs: Seq[Valid[Redirect]]): Vec[Bool] = { 779aca92b9SYinan Xu val compareVec = (0 until xs.length).map(i => (0 until i).map(j => isAfter(xs(j).bits.robIdx, xs(i).bits.robIdx))) 78435a337cSYinan Xu val resultOnehot = VecInit((0 until xs.length).map(i => Cat((0 until xs.length).map(j => 79435a337cSYinan Xu (if (j < i) !xs(j).valid || compareVec(i)(j) 80435a337cSYinan Xu else if (j == i) xs(i).valid 81435a337cSYinan Xu else !xs(j).valid || !compareVec(j)(i)) 82435a337cSYinan Xu )).andR)) 83435a337cSYinan Xu resultOnehot 84dfde261eSljw } 85faf3cfa9SLinJiawei 86dfde261eSljw def getRedirect(exuOut: Valid[ExuOutput]): ValidIO[Redirect] = { 87dfde261eSljw val redirect = Wire(Valid(new Redirect)) 88dfde261eSljw redirect.valid := exuOut.valid && exuOut.bits.redirect.cfiUpdate.isMisPred 89dfde261eSljw redirect.bits := exuOut.bits.redirect 90dfde261eSljw redirect 91dfde261eSljw } 92dfde261eSljw 93dfde261eSljw val jumpOut = io.exuMispredict.head 94435a337cSYinan Xu val allRedirect = VecInit(io.exuMispredict.map(x => getRedirect(x)) :+ io.loadReplay) 95435a337cSYinan Xu val oldestOneHot = selectOldestRedirect(allRedirect) 96f4b2089aSYinan Xu val needFlushVec = VecInit(allRedirect.map(_.bits.robIdx.needFlush(io.stage2Redirect) || io.flush)) 97435a337cSYinan Xu val oldestValid = VecInit(oldestOneHot.zip(needFlushVec).map{ case (v, f) => v && !f }).asUInt.orR 98072158bfSYinan Xu val oldestExuOutput = Mux1H(io.exuMispredict.indices.map(oldestOneHot), io.exuMispredict) 99435a337cSYinan Xu val oldestRedirect = Mux1H(oldestOneHot, allRedirect) 100eb163ef0SHaojin Tang io.isMisspreRedirect := VecInit(io.exuMispredict.map(x => getRedirect(x).valid)).asUInt.orR 101b56f947eSYinan Xu io.redirectPcRead.ptr := oldestRedirect.bits.ftqIdx 102b56f947eSYinan Xu io.redirectPcRead.offset := oldestRedirect.bits.ftqOffset 103dfde261eSljw 1046060732cSLinJiawei val s1_jumpTarget = RegEnable(jumpOut.bits.redirect.cfiUpdate.target, jumpOut.valid) 105435a337cSYinan Xu val s1_imm12_reg = RegNext(oldestExuOutput.bits.uop.ctrl.imm(11, 0)) 106435a337cSYinan Xu val s1_pd = RegNext(oldestExuOutput.bits.uop.cf.pd) 107435a337cSYinan Xu val s1_redirect_bits_reg = RegNext(oldestRedirect.bits) 108435a337cSYinan Xu val s1_redirect_valid_reg = RegNext(oldestValid) 109435a337cSYinan Xu val s1_redirect_onehot = RegNext(oldestOneHot) 110faf3cfa9SLinJiawei 111faf3cfa9SLinJiawei // stage1 -> stage2 11227c1214eSLinJiawei io.stage2Redirect.valid := s1_redirect_valid_reg && !io.flush 113faf3cfa9SLinJiawei io.stage2Redirect.bits := s1_redirect_bits_reg 114faf3cfa9SLinJiawei 115072158bfSYinan Xu val s1_isReplay = s1_redirect_onehot.last 116072158bfSYinan Xu val s1_isJump = s1_redirect_onehot.head 117b56f947eSYinan Xu val real_pc = io.redirectPcRead.data 118dfde261eSljw val brTarget = real_pc + SignExt(ImmUnion.B.toImm32(s1_imm12_reg), XLEN) 119dfde261eSljw val snpc = real_pc + Mux(s1_pd.isRVC, 2.U, 4.U) 120435a337cSYinan Xu val target = Mux(s1_isReplay, 121c88c3a2aSYinan Xu real_pc, // replay from itself 122dfde261eSljw Mux(s1_redirect_bits_reg.cfiUpdate.taken, 123dfde261eSljw Mux(s1_isJump, s1_jumpTarget, brTarget), 1246060732cSLinJiawei snpc 125faf3cfa9SLinJiawei ) 126faf3cfa9SLinJiawei ) 1272b8b2e7aSWilliam Wang 1286f688dacSYinan Xu val stage2CfiUpdate = io.stage2Redirect.bits.cfiUpdate 1296f688dacSYinan Xu stage2CfiUpdate.pc := real_pc 1306f688dacSYinan Xu stage2CfiUpdate.pd := s1_pd 1312e1be6e1SSteve Gou // stage2CfiUpdate.predTaken := s1_redirect_bits_reg.cfiUpdate.predTaken 1326f688dacSYinan Xu stage2CfiUpdate.target := target 1332e1be6e1SSteve Gou // stage2CfiUpdate.taken := s1_redirect_bits_reg.cfiUpdate.taken 1342e1be6e1SSteve Gou // stage2CfiUpdate.isMisPred := s1_redirect_bits_reg.cfiUpdate.isMisPred 1356f688dacSYinan Xu 136005e809bSJiuyang Liu val s2_target = RegEnable(target, s1_redirect_valid_reg) 137005e809bSJiuyang Liu val s2_pc = RegEnable(real_pc, s1_redirect_valid_reg) 138005e809bSJiuyang Liu val s2_redirect_bits_reg = RegEnable(s1_redirect_bits_reg, s1_redirect_valid_reg) 1396f688dacSYinan Xu val s2_redirect_valid_reg = RegNext(s1_redirect_valid_reg && !io.flush, init = false.B) 1406f688dacSYinan Xu 1416f688dacSYinan Xu io.stage3Redirect.valid := s2_redirect_valid_reg 1426f688dacSYinan Xu io.stage3Redirect.bits := s2_redirect_bits_reg 1436f688dacSYinan Xu 144de169c67SWilliam Wang // get pc from ftq 145de169c67SWilliam Wang // valid only if redirect is caused by load violation 146de169c67SWilliam Wang // store_pc is used to update store set 147f06ca0bfSLingrui98 val store_pc = io.memPredPcRead(s1_redirect_bits_reg.stFtqIdx, s1_redirect_bits_reg.stFtqOffset) 1482b8b2e7aSWilliam Wang 149de169c67SWilliam Wang // update load violation predictor if load violation redirect triggered 150de169c67SWilliam Wang io.memPredUpdate.valid := RegNext(s1_isReplay && s1_redirect_valid_reg, init = false.B) 151de169c67SWilliam Wang // update wait table 152b56f947eSYinan Xu io.memPredUpdate.waddr := RegNext(XORFold(real_pc(VAddrBits-1, 1), MemPredPCWidth)) 153de169c67SWilliam Wang io.memPredUpdate.wdata := true.B 154de169c67SWilliam Wang // update store set 155b56f947eSYinan Xu io.memPredUpdate.ldpc := RegNext(XORFold(real_pc(VAddrBits-1, 1), MemPredPCWidth)) 156de169c67SWilliam Wang // store pc is ready 1 cycle after s1_isReplay is judged 157de169c67SWilliam Wang io.memPredUpdate.stpc := XORFold(store_pc(VAddrBits-1, 1), MemPredPCWidth) 158de169c67SWilliam Wang 15925ac26c6SWilliam Wang // // recover runahead checkpoint if redirect 16025ac26c6SWilliam Wang // if (!env.FPGAPlatform) { 16125ac26c6SWilliam Wang // val runahead_redirect = Module(new DifftestRunaheadRedirectEvent) 16225ac26c6SWilliam Wang // runahead_redirect.io.clock := clock 16325ac26c6SWilliam Wang // runahead_redirect.io.coreid := io.hartId 16425ac26c6SWilliam Wang // runahead_redirect.io.valid := io.stage3Redirect.valid 16525ac26c6SWilliam Wang // runahead_redirect.io.pc := s2_pc // for debug only 16625ac26c6SWilliam Wang // runahead_redirect.io.target_pc := s2_target // for debug only 16725ac26c6SWilliam Wang // runahead_redirect.io.checkpoint_id := io.stage3Redirect.bits.debug_runahead_checkpoint_id // make sure it is right 16825ac26c6SWilliam Wang // } 169884dbb3bSLinJiawei} 170884dbb3bSLinJiawei 1711cee9cb8SYinan Xuclass CtrlBlock(dpExuConfigs: Seq[Seq[Seq[ExuConfig]]])(implicit p: Parameters) extends LazyModule 1721ca0e4f3SYinan Xu with HasWritebackSink with HasWritebackSource { 1736ab6918fSYinan Xu val rob = LazyModule(new Rob) 1746ab6918fSYinan Xu 1756ab6918fSYinan Xu override def addWritebackSink(source: Seq[HasWritebackSource], index: Option[Seq[Int]]): HasWritebackSink = { 1766ab6918fSYinan Xu rob.addWritebackSink(Seq(this), Some(Seq(writebackSinks.length))) 1776ab6918fSYinan Xu super.addWritebackSink(source, index) 1786ab6918fSYinan Xu } 1796ab6918fSYinan Xu 1801cee9cb8SYinan Xu // duplicated dispatch2 here to avoid cross-module timing path loop. 1811cee9cb8SYinan Xu val dispatch2 = dpExuConfigs.map(c => LazyModule(new Dispatch2Rs(c))) 1826ab6918fSYinan Xu lazy val module = new CtrlBlockImp(this) 1836ab6918fSYinan Xu 1846ab6918fSYinan Xu override lazy val writebackSourceParams: Seq[WritebackSourceParams] = { 1856ab6918fSYinan Xu writebackSinksParams 1866ab6918fSYinan Xu } 1876ab6918fSYinan Xu override lazy val writebackSourceImp: HasWritebackSourceImp = module 1886ab6918fSYinan Xu 1896ab6918fSYinan Xu override def generateWritebackIO( 1906ab6918fSYinan Xu thisMod: Option[HasWritebackSource] = None, 1916ab6918fSYinan Xu thisModImp: Option[HasWritebackSourceImp] = None 1926ab6918fSYinan Xu ): Unit = { 1936ab6918fSYinan Xu module.io.writeback.zip(writebackSinksImp(thisMod, thisModImp)).foreach(x => x._1 := x._2) 1946ab6918fSYinan Xu } 1956ab6918fSYinan Xu} 1966ab6918fSYinan Xu 1976ab6918fSYinan Xuclass CtrlBlockImp(outer: CtrlBlock)(implicit p: Parameters) extends LazyModuleImp(outer) 1981ca0e4f3SYinan Xu with HasXSParameter 1991ca0e4f3SYinan Xu with HasCircularQueuePtrHelper 2001ca0e4f3SYinan Xu with HasWritebackSourceImp 2011ca0e4f3SYinan Xu with HasPerfEvents 2021ca0e4f3SYinan Xu{ 2036ab6918fSYinan Xu val writebackLengths = outer.writebackSinksParams.map(_.length) 2046ab6918fSYinan Xu 2058921b337SYinan Xu val io = IO(new Bundle { 2065668a921SJiawei Lin val hartId = Input(UInt(8.W)) 207b6900d94SYinan Xu val cpu_halt = Output(Bool()) 2085cbe3dbdSLingrui98 val frontend = Flipped(new FrontendToCtrlIO) 2091cee9cb8SYinan Xu // to exu blocks 2102b4e8253SYinan Xu val allocPregs = Vec(RenameWidth, Output(new ResetPregStateReq)) 2112b4e8253SYinan Xu val dispatch = Vec(3*dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp)) 2121cee9cb8SYinan Xu val rsReady = Vec(outer.dispatch2.map(_.module.io.out.length).sum, Input(Bool())) 2131cee9cb8SYinan Xu val enqLsq = Flipped(new LsqEnqIO) 2141cee9cb8SYinan Xu val lqCancelCnt = Input(UInt(log2Up(LoadQueueSize + 1).W)) 2151cee9cb8SYinan Xu val sqCancelCnt = Input(UInt(log2Up(StoreQueueSize + 1).W)) 2161cee9cb8SYinan Xu val sqDeq = Input(UInt(log2Ceil(EnsbufferWidth + 1).W)) 21766220144SYinan Xu // from int block 21866220144SYinan Xu val exuRedirect = Vec(exuParameters.AluCnt + exuParameters.JmpCnt, Flipped(ValidIO(new ExuOutput))) 21966220144SYinan Xu val stIn = Vec(exuParameters.StuCnt, Flipped(ValidIO(new ExuInput))) 22066220144SYinan Xu val memoryViolation = Flipped(ValidIO(new Redirect)) 22166220144SYinan Xu val jumpPc = Output(UInt(VAddrBits.W)) 22266220144SYinan Xu val jalr_target = Output(UInt(VAddrBits.W)) 2239aca92b9SYinan Xu val robio = new Bundle { 2241c2588aaSYinan Xu // to int block 2259aca92b9SYinan Xu val toCSR = new RobCSRIO 2263a474d38SYinan Xu val exception = ValidIO(new ExceptionInfo) 2271c2588aaSYinan Xu // to mem block 2289aca92b9SYinan Xu val lsq = new RobLsqIO 2291c2588aaSYinan Xu } 2302b8b2e7aSWilliam Wang val csrCtrl = Input(new CustomCSRCtrlIO) 231edd6ddbcSwakafa val perfInfo = Output(new Bundle{ 232edd6ddbcSwakafa val ctrlInfo = new Bundle { 2339aca92b9SYinan Xu val robFull = Input(Bool()) 234edd6ddbcSwakafa val intdqFull = Input(Bool()) 235edd6ddbcSwakafa val fpdqFull = Input(Bool()) 236edd6ddbcSwakafa val lsdqFull = Input(Bool()) 237edd6ddbcSwakafa } 238edd6ddbcSwakafa }) 2396ab6918fSYinan Xu val writeback = MixedVec(writebackLengths.map(num => Vec(num, Flipped(ValidIO(new ExuOutput))))) 24066220144SYinan Xu // redirect out 24166220144SYinan Xu val redirect = ValidIO(new Redirect) 24266220144SYinan Xu val debug_int_rat = Vec(32, Output(UInt(PhyRegIdxWidth.W))) 24366220144SYinan Xu val debug_fp_rat = Vec(32, Output(UInt(PhyRegIdxWidth.W))) 2448921b337SYinan Xu }) 2458921b337SYinan Xu 2466ab6918fSYinan Xu override def writebackSource: Option[Seq[Seq[Valid[ExuOutput]]]] = { 2476ab6918fSYinan Xu Some(io.writeback.map(writeback => { 2486ab6918fSYinan Xu val exuOutput = WireInit(writeback) 2496ab6918fSYinan Xu val timer = GTimer() 2506ab6918fSYinan Xu for ((wb_next, wb) <- exuOutput.zip(writeback)) { 2510dc4893dSYinan Xu wb_next.valid := RegNext(wb.valid && !wb.bits.uop.robIdx.needFlush(Seq(stage2Redirect, redirectForExu))) 2526ab6918fSYinan Xu wb_next.bits := RegNext(wb.bits) 2536ab6918fSYinan Xu wb_next.bits.uop.debugInfo.writebackTime := timer 2546ab6918fSYinan Xu } 2556ab6918fSYinan Xu exuOutput 2566ab6918fSYinan Xu })) 2576ab6918fSYinan Xu } 2586ab6918fSYinan Xu 2598921b337SYinan Xu val decode = Module(new DecodeStage) 2600febc381SYinan Xu val fusionDecoder = Module(new FusionDecoder) 2617fa2c198SYinan Xu val rat = Module(new RenameTableWrapper) 262980c1bc3SWilliam Wang val ssit = Module(new SSIT) 263980c1bc3SWilliam Wang val waittable = Module(new WaitTable) 2648921b337SYinan Xu val rename = Module(new Rename) 265694b0180SLinJiawei val dispatch = Module(new Dispatch) 2661ca0e4f3SYinan Xu val intDq = Module(new DispatchQueue(dpParams.IntDqSize, RenameWidth, dpParams.IntDqDeqWidth)) 2671ca0e4f3SYinan Xu val fpDq = Module(new DispatchQueue(dpParams.FpDqSize, RenameWidth, dpParams.FpDqDeqWidth)) 2681ca0e4f3SYinan Xu val lsDq = Module(new DispatchQueue(dpParams.LsDqSize, RenameWidth, dpParams.LsDqDeqWidth)) 269884dbb3bSLinJiawei val redirectGen = Module(new RedirectGenerator) 270873dc383SLingrui98 // jumpPc (2) + redirects (1) + loadPredUpdate (1) + jalr_target (1) + robFlush (1) 27188bc4f90SLingrui98 val pcMem = Module(new SyncDataModuleTemplate(new Ftq_RF_Components, FtqSize, 6, 1, "BackendPC")) 2726ab6918fSYinan Xu val rob = outer.rob.module 2738921b337SYinan Xu 274b56f947eSYinan Xu pcMem.io.wen.head := RegNext(io.frontend.fromFtq.pc_mem_wen) 275b56f947eSYinan Xu pcMem.io.waddr.head := RegNext(io.frontend.fromFtq.pc_mem_waddr) 276b56f947eSYinan Xu pcMem.io.wdata.head := RegNext(io.frontend.fromFtq.pc_mem_wdata) 277b56f947eSYinan Xu 278b56f947eSYinan Xu 279b56f947eSYinan Xu pcMem.io.raddr.last := rob.io.flushOut.bits.ftqIdx.value 280b56f947eSYinan Xu val flushPC = pcMem.io.rdata.last.getPc(RegNext(rob.io.flushOut.bits.ftqOffset)) 281f4b2089aSYinan Xu 282f4b2089aSYinan Xu val flushRedirect = Wire(Valid(new Redirect)) 283f4b2089aSYinan Xu flushRedirect.valid := RegNext(rob.io.flushOut.valid) 284f4b2089aSYinan Xu flushRedirect.bits := RegEnable(rob.io.flushOut.bits, rob.io.flushOut.valid) 285f4b2089aSYinan Xu 286f4b2089aSYinan Xu val flushRedirectReg = Wire(Valid(new Redirect)) 287f4b2089aSYinan Xu flushRedirectReg.valid := RegNext(flushRedirect.valid, init = false.B) 288005e809bSJiuyang Liu flushRedirectReg.bits := RegEnable(flushRedirect.bits, flushRedirect.valid) 289f4b2089aSYinan Xu 290f4b2089aSYinan Xu val stage2Redirect = Mux(flushRedirect.valid, flushRedirect, redirectGen.io.stage2Redirect) 2910dc4893dSYinan Xu // Redirect will be RegNext at ExuBlocks. 2920dc4893dSYinan Xu val redirectForExu = RegNextWithEnable(stage2Redirect) 293faf3cfa9SLinJiawei 29466220144SYinan Xu val exuRedirect = io.exuRedirect.map(x => { 295dfde261eSljw val valid = x.valid && x.bits.redirectValid 2960dc4893dSYinan Xu val killedByOlder = x.bits.uop.robIdx.needFlush(Seq(stage2Redirect, redirectForExu)) 297dfde261eSljw val delayed = Wire(Valid(new ExuOutput)) 298dfde261eSljw delayed.valid := RegNext(valid && !killedByOlder, init = false.B) 299dfde261eSljw delayed.bits := RegEnable(x.bits, x.valid) 300dfde261eSljw delayed 301faf3cfa9SLinJiawei }) 302c1b37c81Sljw val loadReplay = Wire(Valid(new Redirect)) 30366220144SYinan Xu loadReplay.valid := RegNext(io.memoryViolation.valid && 3040dc4893dSYinan Xu !io.memoryViolation.bits.robIdx.needFlush(Seq(stage2Redirect, redirectForExu)), 305c1b37c81Sljw init = false.B 306c1b37c81Sljw ) 30766220144SYinan Xu loadReplay.bits := RegEnable(io.memoryViolation.bits, io.memoryViolation.valid) 308b56f947eSYinan Xu pcMem.io.raddr(2) := redirectGen.io.redirectPcRead.ptr.value 309b56f947eSYinan Xu redirectGen.io.redirectPcRead.data := pcMem.io.rdata(2).getPc(RegNext(redirectGen.io.redirectPcRead.offset)) 310b56f947eSYinan Xu pcMem.io.raddr(3) := redirectGen.io.memPredPcRead.ptr.value 311b56f947eSYinan Xu redirectGen.io.memPredPcRead.data := pcMem.io.rdata(3).getPc(RegNext(redirectGen.io.memPredPcRead.offset)) 3125668a921SJiawei Lin redirectGen.io.hartId := io.hartId 313dfde261eSljw redirectGen.io.exuMispredict <> exuRedirect 314c1b37c81Sljw redirectGen.io.loadReplay <> loadReplay 3156f688dacSYinan Xu redirectGen.io.flush := flushRedirect.valid 3168921b337SYinan Xu 317df5b4b8eSYinan Xu val frontendFlushValid = DelayN(flushRedirect.valid, 5) 318df5b4b8eSYinan Xu val frontendFlushBits = RegEnable(flushRedirect.bits, flushRedirect.valid) 319a1351e5dSJay // When ROB commits an instruction with a flush, we notify the frontend of the flush without the commit. 320a1351e5dSJay // Flushes to frontend may be delayed by some cycles and commit before flush causes errors. 321a1351e5dSJay // Thus, we make all flush reasons to behave the same as exceptions for frontend. 322884dbb3bSLinJiawei for (i <- 0 until CommitWidth) { 3236474c47fSYinan Xu // why flushOut: instructions with flushPipe are not commited to frontend 3246474c47fSYinan Xu // If we commit them to frontend, it will cause flush after commit, which is not acceptable by frontend. 3256474c47fSYinan Xu val is_commit = rob.io.commits.commitValid(i) && rob.io.commits.isCommit && !rob.io.flushOut.valid 326a1351e5dSJay io.frontend.toFtq.rob_commits(i).valid := RegNext(is_commit) 327a1351e5dSJay io.frontend.toFtq.rob_commits(i).bits := RegEnable(rob.io.commits.info(i), is_commit) 328884dbb3bSLinJiawei } 329df5b4b8eSYinan Xu io.frontend.toFtq.redirect.valid := frontendFlushValid || redirectGen.io.stage2Redirect.valid 330df5b4b8eSYinan Xu io.frontend.toFtq.redirect.bits := Mux(frontendFlushValid, frontendFlushBits, redirectGen.io.stage2Redirect.bits) 331df5b4b8eSYinan Xu // Be careful here: 332df5b4b8eSYinan Xu // T0: flushRedirect.valid, exception.valid 333df5b4b8eSYinan Xu // T1: csr.redirect.valid 334df5b4b8eSYinan Xu // T2: csr.exception.valid 335df5b4b8eSYinan Xu // T3: csr.trapTarget 336df5b4b8eSYinan Xu // T4: ctrlBlock.trapTarget 337df5b4b8eSYinan Xu // T5: io.frontend.toFtq.stage2Redirect.valid 338df5b4b8eSYinan Xu val pc_from_csr = io.robio.toCSR.isXRet || DelayN(rob.io.exception.valid, 4) 339df5b4b8eSYinan Xu val rob_flush_pc = RegEnable(Mux(flushRedirect.bits.flushItself(), 340df5b4b8eSYinan Xu flushPC, // replay inst 341df5b4b8eSYinan Xu flushPC + 4.U // flush pipe 342df5b4b8eSYinan Xu ), flushRedirect.valid) 343df5b4b8eSYinan Xu val flushTarget = Mux(pc_from_csr, io.robio.toCSR.trapTarget, rob_flush_pc) 3442e1be6e1SSteve Gou when (frontendFlushValid) { 3452e1be6e1SSteve Gou io.frontend.toFtq.redirect.bits.level := RedirectLevel.flush 346df5b4b8eSYinan Xu io.frontend.toFtq.redirect.bits.cfiUpdate.target := RegNext(flushTarget) 347a1351e5dSJay } 3482e1be6e1SSteve Gou 3492e1be6e1SSteve Gou 3506f688dacSYinan Xu val pendingRedirect = RegInit(false.B) 3516f688dacSYinan Xu when (stage2Redirect.valid) { 3526f688dacSYinan Xu pendingRedirect := true.B 353df5b4b8eSYinan Xu }.elsewhen (RegNext(io.frontend.toFtq.redirect.valid)) { 3546f688dacSYinan Xu pendingRedirect := false.B 3556f688dacSYinan Xu } 35666bcc42fSYinan Xu 357eb163ef0SHaojin Tang if (env.EnableTopDown) { 358eb163ef0SHaojin Tang val stage2Redirect_valid_when_pending = pendingRedirect && stage2Redirect.valid 359eb163ef0SHaojin Tang 360eb163ef0SHaojin Tang val stage2_redirect_cycles = RegInit(false.B) // frontend_bound->fetch_lantency->stage2_redirect 361eb163ef0SHaojin Tang val MissPredPending = RegInit(false.B); val branch_resteers_cycles = RegInit(false.B) // frontend_bound->fetch_lantency->stage2_redirect->branch_resteers 362eb163ef0SHaojin Tang val RobFlushPending = RegInit(false.B); val robFlush_bubble_cycles = RegInit(false.B) // frontend_bound->fetch_lantency->stage2_redirect->robflush_bubble 363eb163ef0SHaojin Tang val LdReplayPending = RegInit(false.B); val ldReplay_bubble_cycles = RegInit(false.B) // frontend_bound->fetch_lantency->stage2_redirect->ldReplay_bubble 364eb163ef0SHaojin Tang 365eb163ef0SHaojin Tang when(redirectGen.io.isMisspreRedirect) { MissPredPending := true.B } 366eb163ef0SHaojin Tang when(flushRedirect.valid) { RobFlushPending := true.B } 367eb163ef0SHaojin Tang when(redirectGen.io.loadReplay.valid) { LdReplayPending := true.B } 368eb163ef0SHaojin Tang 369eb163ef0SHaojin Tang when (RegNext(io.frontend.toFtq.redirect.valid)) { 370eb163ef0SHaojin Tang when(pendingRedirect) { stage2_redirect_cycles := true.B } 371eb163ef0SHaojin Tang when(MissPredPending) { MissPredPending := false.B; branch_resteers_cycles := true.B } 372eb163ef0SHaojin Tang when(RobFlushPending) { RobFlushPending := false.B; robFlush_bubble_cycles := true.B } 373eb163ef0SHaojin Tang when(LdReplayPending) { LdReplayPending := false.B; ldReplay_bubble_cycles := true.B } 374eb163ef0SHaojin Tang } 375eb163ef0SHaojin Tang 376eb163ef0SHaojin Tang when(VecInit(decode.io.out.map(x => x.valid)).asUInt.orR){ 377eb163ef0SHaojin Tang when(stage2_redirect_cycles) { stage2_redirect_cycles := false.B } 378eb163ef0SHaojin Tang when(branch_resteers_cycles) { branch_resteers_cycles := false.B } 379eb163ef0SHaojin Tang when(robFlush_bubble_cycles) { robFlush_bubble_cycles := false.B } 380eb163ef0SHaojin Tang when(ldReplay_bubble_cycles) { ldReplay_bubble_cycles := false.B } 381eb163ef0SHaojin Tang } 382eb163ef0SHaojin Tang 383eb163ef0SHaojin Tang XSPerfAccumulate("stage2_redirect_cycles", stage2_redirect_cycles) 384eb163ef0SHaojin Tang XSPerfAccumulate("branch_resteers_cycles", branch_resteers_cycles) 385eb163ef0SHaojin Tang XSPerfAccumulate("robFlush_bubble_cycles", robFlush_bubble_cycles) 386eb163ef0SHaojin Tang XSPerfAccumulate("ldReplay_bubble_cycles", ldReplay_bubble_cycles) 387eb163ef0SHaojin Tang XSPerfAccumulate("s2Redirect_pend_cycles", stage2Redirect_valid_when_pending) 388eb163ef0SHaojin Tang } 389eb163ef0SHaojin Tang 3908921b337SYinan Xu decode.io.in <> io.frontend.cfVec 391fd7603d9SYinan Xu decode.io.csrCtrl := RegNext(io.csrCtrl) 392a0db5a4bSYinan Xu decode.io.intRat <> rat.io.intReadPorts 393a0db5a4bSYinan Xu decode.io.fpRat <> rat.io.fpReadPorts 394980c1bc3SWilliam Wang 395980c1bc3SWilliam Wang // memory dependency predict 396980c1bc3SWilliam Wang // when decode, send fold pc to mdp 397980c1bc3SWilliam Wang for (i <- 0 until DecodeWidth) { 398980c1bc3SWilliam Wang val mdp_foldpc = Mux( 399a0db5a4bSYinan Xu decode.io.out(i).fire, 400980c1bc3SWilliam Wang decode.io.in(i).bits.foldpc, 401980c1bc3SWilliam Wang rename.io.in(i).bits.cf.foldpc 402980c1bc3SWilliam Wang ) 403980c1bc3SWilliam Wang ssit.io.raddr(i) := mdp_foldpc 404980c1bc3SWilliam Wang waittable.io.raddr(i) := mdp_foldpc 405980c1bc3SWilliam Wang } 406980c1bc3SWilliam Wang // currently, we only update mdp info when isReplay 407980c1bc3SWilliam Wang ssit.io.update <> RegNext(redirectGen.io.memPredUpdate) 408980c1bc3SWilliam Wang ssit.io.csrCtrl := RegNext(io.csrCtrl) 409980c1bc3SWilliam Wang waittable.io.update <> RegNext(redirectGen.io.memPredUpdate) 410980c1bc3SWilliam Wang waittable.io.csrCtrl := RegNext(io.csrCtrl) 411980c1bc3SWilliam Wang 412980c1bc3SWilliam Wang // LFST lookup and update 413980c1bc3SWilliam Wang val lfst = Module(new LFST) 414980c1bc3SWilliam Wang lfst.io.redirect <> RegNext(io.redirect) 415980c1bc3SWilliam Wang lfst.io.storeIssue <> RegNext(io.stIn) 416980c1bc3SWilliam Wang lfst.io.csrCtrl <> RegNext(io.csrCtrl) 417980c1bc3SWilliam Wang lfst.io.dispatch <> dispatch.io.lfst 4182b8b2e7aSWilliam Wang 419ccfddc82SHaojin Tang rat.io.redirect := stage2Redirect.valid 4207fa2c198SYinan Xu rat.io.robCommits := rob.io.commits 4217fa2c198SYinan Xu rat.io.intRenamePorts := rename.io.intRenamePorts 4227fa2c198SYinan Xu rat.io.fpRenamePorts := rename.io.fpRenamePorts 4237fa2c198SYinan Xu rat.io.debug_int_rat <> io.debug_int_rat 4247fa2c198SYinan Xu rat.io.debug_fp_rat <> io.debug_fp_rat 4250412e00dSLinJiawei 4262b4e8253SYinan Xu // pipeline between decode and rename 427b424051cSYinan Xu for (i <- 0 until RenameWidth) { 4280febc381SYinan Xu // fusion decoder 4290febc381SYinan Xu val decodeHasException = io.frontend.cfVec(i).bits.exceptionVec(instrPageFault) || io.frontend.cfVec(i).bits.exceptionVec(instrAccessFault) 4305b47c58cSYinan Xu val disableFusion = decode.io.csrCtrl.singlestep || !decode.io.csrCtrl.fusion_enable 4310febc381SYinan Xu fusionDecoder.io.in(i).valid := io.frontend.cfVec(i).valid && !(decodeHasException || disableFusion) 4320febc381SYinan Xu fusionDecoder.io.in(i).bits := io.frontend.cfVec(i).bits.instr 4330febc381SYinan Xu if (i > 0) { 4340febc381SYinan Xu fusionDecoder.io.inReady(i - 1) := decode.io.out(i).ready 4350febc381SYinan Xu } 4360febc381SYinan Xu 4370febc381SYinan Xu // Pipeline 4380febc381SYinan Xu val renamePipe = PipelineNext(decode.io.out(i), rename.io.in(i).ready, 4396f688dacSYinan Xu stage2Redirect.valid || pendingRedirect) 4400febc381SYinan Xu renamePipe.ready := rename.io.in(i).ready 4410febc381SYinan Xu rename.io.in(i).valid := renamePipe.valid && !fusionDecoder.io.clear(i) 4420febc381SYinan Xu rename.io.in(i).bits := renamePipe.bits 443a0db5a4bSYinan Xu rename.io.intReadPorts(i) := rat.io.intReadPorts(i).map(_.data) 444a0db5a4bSYinan Xu rename.io.fpReadPorts(i) := rat.io.fpReadPorts(i).map(_.data) 445a0db5a4bSYinan Xu rename.io.waittable(i) := RegEnable(waittable.io.rdata(i), decode.io.out(i).fire) 4460febc381SYinan Xu 4470febc381SYinan Xu if (i < RenameWidth - 1) { 4480febc381SYinan Xu // fusion decoder sees the raw decode info 4490febc381SYinan Xu fusionDecoder.io.dec(i) := renamePipe.bits.ctrl 4500febc381SYinan Xu rename.io.fusionInfo(i) := fusionDecoder.io.info(i) 4510febc381SYinan Xu 4520febc381SYinan Xu // update the first RenameWidth - 1 instructions 4530febc381SYinan Xu decode.io.fusion(i) := fusionDecoder.io.out(i).valid && rename.io.out(i).fire 4540febc381SYinan Xu when (fusionDecoder.io.out(i).valid) { 4550febc381SYinan Xu fusionDecoder.io.out(i).bits.update(rename.io.in(i).bits.ctrl) 4560febc381SYinan Xu // TODO: remove this dirty code for ftq update 4570febc381SYinan Xu val sameFtqPtr = rename.io.in(i).bits.cf.ftqPtr.value === rename.io.in(i + 1).bits.cf.ftqPtr.value 4580febc381SYinan Xu val ftqOffset0 = rename.io.in(i).bits.cf.ftqOffset 4590febc381SYinan Xu val ftqOffset1 = rename.io.in(i + 1).bits.cf.ftqOffset 4600febc381SYinan Xu val ftqOffsetDiff = ftqOffset1 - ftqOffset0 4610febc381SYinan Xu val cond1 = sameFtqPtr && ftqOffsetDiff === 1.U 4620febc381SYinan Xu val cond2 = sameFtqPtr && ftqOffsetDiff === 2.U 4630febc381SYinan Xu val cond3 = !sameFtqPtr && ftqOffset1 === 0.U 4640febc381SYinan Xu val cond4 = !sameFtqPtr && ftqOffset1 === 1.U 4650febc381SYinan Xu rename.io.in(i).bits.ctrl.commitType := Mux(cond1, 4.U, Mux(cond2, 5.U, Mux(cond3, 6.U, 7.U))) 4660febc381SYinan Xu XSError(!cond1 && !cond2 && !cond3 && !cond4, p"new condition $sameFtqPtr $ftqOffset0 $ftqOffset1\n") 4670febc381SYinan Xu } 4680febc381SYinan Xu } 469b424051cSYinan Xu } 4708921b337SYinan Xu 471f06ca0bfSLingrui98 rename.io.redirect <> stage2Redirect 4729aca92b9SYinan Xu rename.io.robCommits <> rob.io.commits 473980c1bc3SWilliam Wang rename.io.ssit <> ssit.io.rdata 474ccfddc82SHaojin Tang rename.io.debug_int_rat <> rat.io.debug_int_rat 475ccfddc82SHaojin Tang rename.io.debug_fp_rat <> rat.io.debug_fp_rat 4768921b337SYinan Xu 4772b4e8253SYinan Xu // pipeline between rename and dispatch 4782b4e8253SYinan Xu for (i <- 0 until RenameWidth) { 479f4b2089aSYinan Xu PipelineConnect(rename.io.out(i), dispatch.io.fromRename(i), dispatch.io.recv(i), stage2Redirect.valid) 4802b4e8253SYinan Xu } 4812b4e8253SYinan Xu 4825668a921SJiawei Lin dispatch.io.hartId := io.hartId 483f06ca0bfSLingrui98 dispatch.io.redirect <> stage2Redirect 4849aca92b9SYinan Xu dispatch.io.enqRob <> rob.io.enq 4852b4e8253SYinan Xu dispatch.io.toIntDq <> intDq.io.enq 4862b4e8253SYinan Xu dispatch.io.toFpDq <> fpDq.io.enq 4872b4e8253SYinan Xu dispatch.io.toLsDq <> lsDq.io.enq 4882b4e8253SYinan Xu dispatch.io.allocPregs <> io.allocPregs 489d7dd1af1SLi Qianruo dispatch.io.singleStep := RegNext(io.csrCtrl.singlestep) 4900412e00dSLinJiawei 4910dc4893dSYinan Xu intDq.io.redirect <> redirectForExu 4920dc4893dSYinan Xu fpDq.io.redirect <> redirectForExu 4930dc4893dSYinan Xu lsDq.io.redirect <> redirectForExu 4942b4e8253SYinan Xu 4951cee9cb8SYinan Xu val dpqOut = intDq.io.deq ++ lsDq.io.deq ++ fpDq.io.deq 4961cee9cb8SYinan Xu io.dispatch <> dpqOut 4971cee9cb8SYinan Xu 4981cee9cb8SYinan Xu for (dp2 <- outer.dispatch2.map(_.module.io)) { 4991cee9cb8SYinan Xu dp2.redirect := redirectForExu 5001cee9cb8SYinan Xu if (dp2.readFpState.isDefined) { 5011cee9cb8SYinan Xu dp2.readFpState.get := DontCare 5021cee9cb8SYinan Xu } 5031cee9cb8SYinan Xu if (dp2.readIntState.isDefined) { 5041cee9cb8SYinan Xu dp2.readIntState.get := DontCare 5051cee9cb8SYinan Xu } 5061cee9cb8SYinan Xu if (dp2.enqLsq.isDefined) { 5071cee9cb8SYinan Xu val lsqCtrl = Module(new LsqEnqCtrl) 5081cee9cb8SYinan Xu lsqCtrl.io.redirect <> redirectForExu 5091cee9cb8SYinan Xu lsqCtrl.io.enq <> dp2.enqLsq.get 5101cee9cb8SYinan Xu lsqCtrl.io.lcommit := rob.io.lsq.lcommit 5111cee9cb8SYinan Xu lsqCtrl.io.scommit := io.sqDeq 5121cee9cb8SYinan Xu lsqCtrl.io.lqCancelCnt := io.lqCancelCnt 5131cee9cb8SYinan Xu lsqCtrl.io.sqCancelCnt := io.sqCancelCnt 5141cee9cb8SYinan Xu io.enqLsq <> lsqCtrl.io.enqLsq 5151cee9cb8SYinan Xu } 5161cee9cb8SYinan Xu } 5171cee9cb8SYinan Xu for ((dp2In, i) <- outer.dispatch2.flatMap(_.module.io.in).zipWithIndex) { 5181cee9cb8SYinan Xu dp2In.valid := dpqOut(i).valid 5191cee9cb8SYinan Xu dp2In.bits := dpqOut(i).bits 5201cee9cb8SYinan Xu // override ready here to avoid cross-module loop path 5211cee9cb8SYinan Xu dpqOut(i).ready := dp2In.ready 5221cee9cb8SYinan Xu } 5231cee9cb8SYinan Xu for ((dp2Out, i) <- outer.dispatch2.flatMap(_.module.io.out).zipWithIndex) { 5241cee9cb8SYinan Xu dp2Out.ready := io.rsReady(i) 5251cee9cb8SYinan Xu } 5263fae98acSYinan Xu 527f973ab00SYinan Xu val pingpong = RegInit(false.B) 528f973ab00SYinan Xu pingpong := !pingpong 529b56f947eSYinan Xu pcMem.io.raddr(0) := intDq.io.deqNext(0).cf.ftqPtr.value 530b56f947eSYinan Xu pcMem.io.raddr(1) := intDq.io.deqNext(2).cf.ftqPtr.value 531b56f947eSYinan Xu val jumpPcRead0 = pcMem.io.rdata(0).getPc(RegNext(intDq.io.deqNext(0).cf.ftqOffset)) 532b56f947eSYinan Xu val jumpPcRead1 = pcMem.io.rdata(1).getPc(RegNext(intDq.io.deqNext(2).cf.ftqOffset)) 533b56f947eSYinan Xu io.jumpPc := Mux(pingpong && (exuParameters.AluCnt > 2).B, jumpPcRead1, jumpPcRead0) 534873dc383SLingrui98 val jalrTargetReadPtr = Mux(pingpong && (exuParameters.AluCnt > 2).B, 535f70fe10fSYinan Xu io.dispatch(2).bits.cf.ftqPtr, 536f70fe10fSYinan Xu io.dispatch(0).bits.cf.ftqPtr) 537873dc383SLingrui98 pcMem.io.raddr(4) := (jalrTargetReadPtr + 1.U).value 538873dc383SLingrui98 val jalrTargetRead = pcMem.io.rdata(4).startAddr 539873dc383SLingrui98 val read_from_newest_entry = RegNext(jalrTargetReadPtr) === RegNext(io.frontend.fromFtq.newest_entry_ptr) 540873dc383SLingrui98 io.jalr_target := Mux(read_from_newest_entry, RegNext(io.frontend.fromFtq.newest_entry_target), jalrTargetRead) 5417fa2c198SYinan Xu 5425668a921SJiawei Lin rob.io.hartId := io.hartId 543b6900d94SYinan Xu io.cpu_halt := DelayN(rob.io.cpu_halt, 5) 5449aca92b9SYinan Xu rob.io.redirect <> stage2Redirect 5456ab6918fSYinan Xu outer.rob.generateWritebackIO(Some(outer), Some(this)) 5460412e00dSLinJiawei 5475cbe3dbdSLingrui98 io.redirect <> stage2Redirect 5480412e00dSLinJiawei 5499aca92b9SYinan Xu // rob to int block 5509aca92b9SYinan Xu io.robio.toCSR <> rob.io.csr 5515b47c58cSYinan Xu // When wfi is disabled, it will not block ROB commit. 55209309bdbSYinan Xu rob.io.csr.wfiEvent := io.robio.toCSR.wfiEvent 55309309bdbSYinan Xu rob.io.wfi_enable := decode.io.csrCtrl.wfi_enable 5549aca92b9SYinan Xu io.robio.toCSR.perfinfo.retiredInstr <> RegNext(rob.io.csr.perfinfo.retiredInstr) 5559aca92b9SYinan Xu io.robio.exception := rob.io.exception 5569aca92b9SYinan Xu io.robio.exception.bits.uop.cf.pc := flushPC 5572b4e8253SYinan Xu 5589aca92b9SYinan Xu // rob to mem block 5599aca92b9SYinan Xu io.robio.lsq <> rob.io.lsq 560edd6ddbcSwakafa 5619aca92b9SYinan Xu io.perfInfo.ctrlInfo.robFull := RegNext(rob.io.robFull) 5622b4e8253SYinan Xu io.perfInfo.ctrlInfo.intdqFull := RegNext(intDq.io.dqFull) 5632b4e8253SYinan Xu io.perfInfo.ctrlInfo.fpdqFull := RegNext(fpDq.io.dqFull) 5642b4e8253SYinan Xu io.perfInfo.ctrlInfo.lsdqFull := RegNext(lsDq.io.dqFull) 565cd365d4cSrvcoresjw 566cd365d4cSrvcoresjw val pfevent = Module(new PFEvent) 5671ca0e4f3SYinan Xu pfevent.io.distribute_csr := RegNext(io.csrCtrl.distribute_csr) 568cd365d4cSrvcoresjw val csrevents = pfevent.io.hpmevent.slice(8,16) 5691ca0e4f3SYinan Xu 570cd365d4cSrvcoresjw val perfinfo = IO(new Bundle(){ 5711ca0e4f3SYinan Xu val perfEventsRs = Input(Vec(NumRs, new PerfEvent)) 5721ca0e4f3SYinan Xu val perfEventsEu0 = Input(Vec(6, new PerfEvent)) 5731ca0e4f3SYinan Xu val perfEventsEu1 = Input(Vec(6, new PerfEvent)) 574cd365d4cSrvcoresjw }) 575cd365d4cSrvcoresjw 5761ca0e4f3SYinan Xu val allPerfEvents = Seq(decode, rename, dispatch, intDq, fpDq, lsDq, rob).flatMap(_.getPerf) 5771ca0e4f3SYinan Xu val hpmEvents = allPerfEvents ++ perfinfo.perfEventsEu0 ++ perfinfo.perfEventsEu1 ++ perfinfo.perfEventsRs 5781ca0e4f3SYinan Xu val perfEvents = HPerfMonitor(csrevents, hpmEvents).getPerfEvents 5791ca0e4f3SYinan Xu generatePerfEvent() 5808921b337SYinan Xu} 581