18921b337SYinan Xupackage xiangshan.backend 28921b337SYinan Xu 38921b337SYinan Xuimport chisel3._ 48921b337SYinan Xuimport chisel3.util._ 521732575SYinan Xuimport utils._ 68921b337SYinan Xuimport xiangshan._ 7b424051cSYinan Xuimport xiangshan.backend.decode.DecodeStage 83fae98acSYinan Xuimport xiangshan.backend.rename.{Rename, BusyTable} 98921b337SYinan Xuimport xiangshan.backend.brq.Brq 108921b337SYinan Xuimport xiangshan.backend.dispatch.Dispatch 118921b337SYinan Xuimport xiangshan.backend.exu._ 12694b0180SLinJiaweiimport xiangshan.backend.exu.Exu.exuConfigs 138921b337SYinan Xuimport xiangshan.backend.regfile.RfReadPort 147ca3937dSYinan Xuimport xiangshan.backend.roq.{Roq, RoqPtr, RoqCSRIO} 15780ade3fSYinan Xuimport xiangshan.mem.LsqEnqIO 168921b337SYinan Xu 178921b337SYinan Xuclass CtrlToIntBlockIO extends XSBundle { 188921b337SYinan Xu val enqIqCtrl = Vec(exuParameters.IntExuCnt, DecoupledIO(new MicroOp)) 198921b337SYinan Xu val enqIqData = Vec(exuParameters.IntExuCnt, Output(new ExuInput)) 202bb6eba1SYinan Xu val readRf = Vec(NRIntReadPorts, Flipped(new RfReadPort)) 2166bcc42fSYinan Xu val redirect = ValidIO(new Redirect) 228921b337SYinan Xu} 238921b337SYinan Xu 248921b337SYinan Xuclass CtrlToFpBlockIO extends XSBundle { 258921b337SYinan Xu val enqIqCtrl = Vec(exuParameters.FpExuCnt, DecoupledIO(new MicroOp)) 268921b337SYinan Xu val enqIqData = Vec(exuParameters.FpExuCnt, Output(new ExuInput)) 272bb6eba1SYinan Xu val readRf = Vec(NRFpReadPorts, Flipped(new RfReadPort)) 2866bcc42fSYinan Xu val redirect = ValidIO(new Redirect) 298921b337SYinan Xu} 308921b337SYinan Xu 318921b337SYinan Xuclass CtrlToLsBlockIO extends XSBundle { 328921b337SYinan Xu val enqIqCtrl = Vec(exuParameters.LsExuCnt, DecoupledIO(new MicroOp)) 338921b337SYinan Xu val enqIqData = Vec(exuParameters.LsExuCnt, Output(new ExuInput)) 34780ade3fSYinan Xu val enqLsq = Flipped(new LsqEnqIO) 3566bcc42fSYinan Xu val redirect = ValidIO(new Redirect) 368921b337SYinan Xu} 378921b337SYinan Xu 3821732575SYinan Xuclass CtrlBlock extends XSModule with HasCircularQueuePtrHelper { 398921b337SYinan Xu val io = IO(new Bundle { 408921b337SYinan Xu val frontend = Flipped(new FrontendToBackendIO) 418921b337SYinan Xu val fromIntBlock = Flipped(new IntBlockToCtrlIO) 428921b337SYinan Xu val fromFpBlock = Flipped(new FpBlockToCtrlIO) 438921b337SYinan Xu val fromLsBlock = Flipped(new LsBlockToCtrlIO) 448921b337SYinan Xu val toIntBlock = new CtrlToIntBlockIO 458921b337SYinan Xu val toFpBlock = new CtrlToFpBlockIO 468921b337SYinan Xu val toLsBlock = new CtrlToLsBlockIO 471c2588aaSYinan Xu val roqio = new Bundle { 481c2588aaSYinan Xu // to int block 491c2588aaSYinan Xu val toCSR = new RoqCSRIO 501c2588aaSYinan Xu val exception = ValidIO(new MicroOp) 511c2588aaSYinan Xu val isInterrupt = Output(Bool()) 521c2588aaSYinan Xu // to mem block 5321e7a6c5SYinan Xu val commits = new RoqCommitIO 541c2588aaSYinan Xu val roqDeqPtr = Output(new RoqPtr) 551c2588aaSYinan Xu } 568921b337SYinan Xu }) 578921b337SYinan Xu 588921b337SYinan Xu val decode = Module(new DecodeStage) 598921b337SYinan Xu val brq = Module(new Brq) 608921b337SYinan Xu val rename = Module(new Rename) 61694b0180SLinJiawei val dispatch = Module(new Dispatch) 623fae98acSYinan Xu val intBusyTable = Module(new BusyTable(NRIntReadPorts, NRIntWritePorts)) 633fae98acSYinan Xu val fpBusyTable = Module(new BusyTable(NRFpReadPorts, NRFpWritePorts)) 648921b337SYinan Xu 650412e00dSLinJiawei val roqWbSize = NRIntWritePorts + NRFpWritePorts + exuParameters.StuCnt + 1 66694b0180SLinJiawei 67694b0180SLinJiawei val roq = Module(new Roq(roqWbSize)) 688921b337SYinan Xu 6967cc1812SYinan Xu // When replay and mis-prediction have the same roqIdx, 7067cc1812SYinan Xu // mis-prediction should have higher priority, since mis-prediction flushes the load instruction. 7167cc1812SYinan Xu // Thus, only when mis-prediction roqIdx is after replay roqIdx, replay should be valid. 72af2ca063SYinan Xu val brqIsAfterLsq = isAfter(brq.io.redirectOut.bits.roqIdx, io.fromLsBlock.replay.bits.roqIdx) 73af2ca063SYinan Xu val redirectArb = Mux(io.fromLsBlock.replay.valid && (!brq.io.redirectOut.valid || brqIsAfterLsq), 74af2ca063SYinan Xu io.fromLsBlock.replay.bits, brq.io.redirectOut.bits) 75af2ca063SYinan Xu val redirectValid = roq.io.redirectOut.valid || brq.io.redirectOut.valid || io.fromLsBlock.replay.valid 76edf53867SYinan Xu val redirect = Mux(roq.io.redirectOut.valid, roq.io.redirectOut.bits, redirectArb) 778921b337SYinan Xu 78819e6a63SYinan Xu io.frontend.redirect.valid := RegNext(redirectValid) 79edf53867SYinan Xu io.frontend.redirect.bits := RegNext(Mux(roq.io.redirectOut.valid, roq.io.redirectOut.bits.target, redirectArb.target)) 8043ad9482SLingrui98 io.frontend.cfiUpdateInfo <> brq.io.cfiInfo 8166bcc42fSYinan Xu 828921b337SYinan Xu decode.io.in <> io.frontend.cfVec 838921b337SYinan Xu decode.io.toBrq <> brq.io.enqReqs 848921b337SYinan Xu decode.io.brTags <> brq.io.brTags 858921b337SYinan Xu 86af2ca063SYinan Xu brq.io.redirect.valid <> redirectValid 87af2ca063SYinan Xu brq.io.redirect.bits <> redirect 880412e00dSLinJiawei brq.io.bcommit <> roq.io.bcommit 890412e00dSLinJiawei brq.io.enqReqs <> decode.io.toBrq 90af2ca063SYinan Xu brq.io.exuRedirectWb <> io.fromIntBlock.exuRedirect 910412e00dSLinJiawei 92b424051cSYinan Xu // pipeline between decode and dispatch 93819e6a63SYinan Xu val lastCycleRedirect = RegNext(redirectValid) 94b424051cSYinan Xu for (i <- 0 until RenameWidth) { 95819e6a63SYinan Xu PipelineConnect(decode.io.out(i), rename.io.in(i), rename.io.in(i).ready, redirectValid || lastCycleRedirect) 96b424051cSYinan Xu } 978921b337SYinan Xu 9821732575SYinan Xu rename.io.redirect.valid <> redirectValid 9921732575SYinan Xu rename.io.redirect.bits <> redirect 1008921b337SYinan Xu rename.io.roqCommits <> roq.io.commits 1018921b337SYinan Xu rename.io.out <> dispatch.io.fromRename 10299b8dc2cSYinan Xu rename.io.renameBypass <> dispatch.io.renameBypass 1038921b337SYinan Xu 10421732575SYinan Xu dispatch.io.redirect.valid <> redirectValid 10521732575SYinan Xu dispatch.io.redirect.bits <> redirect 10621b47d38SYinan Xu dispatch.io.enqRoq <> roq.io.enq 10708fafef0SYinan Xu dispatch.io.enqLsq <> io.toLsBlock.enqLsq 1082bb6eba1SYinan Xu dispatch.io.readIntRf <> io.toIntBlock.readRf 1092bb6eba1SYinan Xu dispatch.io.readFpRf <> io.toFpBlock.readRf 1103fae98acSYinan Xu dispatch.io.allocPregs.zipWithIndex.foreach { case (preg, i) => 1113fae98acSYinan Xu intBusyTable.io.allocPregs(i).valid := preg.isInt 1121c931a03SYinan Xu fpBusyTable.io.allocPregs(i).valid := preg.isFp 1133fae98acSYinan Xu intBusyTable.io.allocPregs(i).bits := preg.preg 1143fae98acSYinan Xu fpBusyTable.io.allocPregs(i).bits := preg.preg 1153fae98acSYinan Xu } 1168921b337SYinan Xu dispatch.io.numExist <> io.fromIntBlock.numExist ++ io.fromFpBlock.numExist ++ io.fromLsBlock.numExist 1172bb6eba1SYinan Xu dispatch.io.enqIQCtrl <> io.toIntBlock.enqIqCtrl ++ io.toFpBlock.enqIqCtrl ++ io.toLsBlock.enqIqCtrl 1182bb6eba1SYinan Xu dispatch.io.enqIQData <> io.toIntBlock.enqIqData ++ io.toFpBlock.enqIqData ++ io.toLsBlock.enqIqData 1198921b337SYinan Xu 1200412e00dSLinJiawei 121bfb958a3SYinan Xu val flush = redirectValid && RedirectLevel.isUnconditional(redirect.level) 1223fae98acSYinan Xu fpBusyTable.io.flush := flush 1233fae98acSYinan Xu intBusyTable.io.flush := flush 1243fae98acSYinan Xu for((wb, setPhyRegRdy) <- io.fromIntBlock.wbRegs.zip(intBusyTable.io.wbPregs)){ 125*1e2ad30cSYinan Xu setPhyRegRdy.valid := wb.valid && wb.bits.uop.ctrl.rfWen 1263fae98acSYinan Xu setPhyRegRdy.bits := wb.bits.uop.pdest 1273fae98acSYinan Xu } 1283fae98acSYinan Xu for((wb, setPhyRegRdy) <- io.fromFpBlock.wbRegs.zip(fpBusyTable.io.wbPregs)){ 1293fae98acSYinan Xu setPhyRegRdy.valid := wb.valid && wb.bits.uop.ctrl.fpWen 1303fae98acSYinan Xu setPhyRegRdy.bits := wb.bits.uop.pdest 1313fae98acSYinan Xu } 1323fae98acSYinan Xu intBusyTable.io.rfReadAddr <> dispatch.io.readIntRf.map(_.addr) 1333fae98acSYinan Xu intBusyTable.io.pregRdy <> dispatch.io.intPregRdy 1343fae98acSYinan Xu fpBusyTable.io.rfReadAddr <> dispatch.io.readFpRf.map(_.addr) 1353fae98acSYinan Xu fpBusyTable.io.pregRdy <> dispatch.io.fpPregRdy 1363fae98acSYinan Xu 137af2ca063SYinan Xu roq.io.redirect.valid := brq.io.redirectOut.valid || io.fromLsBlock.replay.valid 138edf53867SYinan Xu roq.io.redirect.bits <> redirectArb 1390412e00dSLinJiawei roq.io.exeWbResults.take(roqWbSize-1).zip( 1400412e00dSLinJiawei io.fromIntBlock.wbRegs ++ io.fromFpBlock.wbRegs ++ io.fromLsBlock.stOut 1410412e00dSLinJiawei ).foreach{ 1420412e00dSLinJiawei case(x, y) => 1430412e00dSLinJiawei x.bits := y.bits 1440412e00dSLinJiawei x.valid := y.valid && !y.bits.redirectValid 1450412e00dSLinJiawei } 1460412e00dSLinJiawei roq.io.exeWbResults.last := brq.io.out 1470412e00dSLinJiawei 14821732575SYinan Xu io.toIntBlock.redirect.valid := redirectValid 14921732575SYinan Xu io.toIntBlock.redirect.bits := redirect 15021732575SYinan Xu io.toFpBlock.redirect.valid := redirectValid 15121732575SYinan Xu io.toFpBlock.redirect.bits := redirect 15221732575SYinan Xu io.toLsBlock.redirect.valid := redirectValid 15321732575SYinan Xu io.toLsBlock.redirect.bits := redirect 1540412e00dSLinJiawei 1551c2588aaSYinan Xu // roq to int block 1561c2588aaSYinan Xu io.roqio.toCSR <> roq.io.csr 157edf53867SYinan Xu io.roqio.exception.valid := roq.io.redirectOut.valid && roq.io.redirectOut.bits.isException() 1581c2588aaSYinan Xu io.roqio.exception.bits := roq.io.exception 159edf53867SYinan Xu io.roqio.isInterrupt := roq.io.redirectOut.bits.interrupt 1601c2588aaSYinan Xu // roq to mem block 1611c2588aaSYinan Xu io.roqio.roqDeqPtr := roq.io.roqDeqPtr 1621c2588aaSYinan Xu io.roqio.commits := roq.io.commits 1638921b337SYinan Xu} 164