1c6d43980SLemover/*************************************************************************************** 2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4c6d43980SLemover* 5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 8c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 9c6d43980SLemover* 10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13c6d43980SLemover* 14c6d43980SLemover* See the Mulan PSL v2 for more details. 15c6d43980SLemover***************************************************************************************/ 16c6d43980SLemover 178921b337SYinan Xupackage xiangshan.backend 188921b337SYinan Xu 192225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters 208921b337SYinan Xuimport chisel3._ 218921b337SYinan Xuimport chisel3.util._ 226ab6918fSYinan Xuimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 2321732575SYinan Xuimport utils._ 243c02ee8fSwakafaimport utility._ 258921b337SYinan Xuimport xiangshan._ 260febc381SYinan Xuimport xiangshan.backend.decode.{DecodeStage, FusionDecoder, ImmUnion} 271cee9cb8SYinan Xuimport xiangshan.backend.dispatch.{Dispatch, Dispatch2Rs, DispatchQueue} 286ab6918fSYinan Xuimport xiangshan.backend.fu.PFEvent 297fa2c198SYinan Xuimport xiangshan.backend.rename.{Rename, RenameTableWrapper} 30d2b20d1aSTang Haojinimport xiangshan.backend.rob.{DebugLSIO, LsTopdownInfo, Rob, RobCSRIO, RobLsqIO, RobPtr} 31a878cf6cSLinJiaweiimport xiangshan.frontend.{FtqPtr, FtqRead, Ftq_RF_Components} 326ab6918fSYinan Xuimport xiangshan.mem.mdp.{LFST, SSIT, WaitTable} 330febc381SYinan Xuimport xiangshan.ExceptionNO._ 341cee9cb8SYinan Xuimport xiangshan.backend.exu.ExuConfig 351cee9cb8SYinan Xuimport xiangshan.mem.{LsqEnqCtrl, LsqEnqIO} 368921b337SYinan Xu 37f06ca0bfSLingrui98class CtrlToFtqIO(implicit p: Parameters) extends XSBundle { 382e1be6e1SSteve Gou def numRedirect = exuParameters.JmpCnt + exuParameters.AluCnt 399aca92b9SYinan Xu val rob_commits = Vec(CommitWidth, Valid(new RobCommitInfo)) 40df5b4b8eSYinan Xu val redirect = Valid(new Redirect) 41f06ca0bfSLingrui98} 42f06ca0bfSLingrui98 43fa7f2c26STang Haojinclass SnapshotPtr(implicit p: Parameters) extends CircularQueuePtr[SnapshotPtr]( 44fa7f2c26STang Haojin p => p(XSCoreParamsKey).RenameSnapshotNum 45fa7f2c26STang Haojin) 46fa7f2c26STang Haojin 47fa7f2c26STang Haojinobject SnapshotGenerator extends HasCircularQueuePtrHelper { 48fa7f2c26STang Haojin def apply[T <: Data](enqData: T, enq: Bool, deq: Bool, flush: Bool)(implicit p: Parameters): Vec[T] = { 49fa7f2c26STang Haojin val snapshotGen = Module(new SnapshotGenerator(enqData)) 50fa7f2c26STang Haojin snapshotGen.io.enq := enq 51fa7f2c26STang Haojin snapshotGen.io.enqData.head := enqData 52fa7f2c26STang Haojin snapshotGen.io.deq := deq 53fa7f2c26STang Haojin snapshotGen.io.flush := flush 54fa7f2c26STang Haojin snapshotGen.io.snapshots 55fa7f2c26STang Haojin } 56fa7f2c26STang Haojin} 57fa7f2c26STang Haojin 58fa7f2c26STang Haojinclass SnapshotGenerator[T <: Data](dataType: T)(implicit p: Parameters) extends XSModule 59fa7f2c26STang Haojin with HasCircularQueuePtrHelper { 60fa7f2c26STang Haojin 61fa7f2c26STang Haojin class SnapshotGeneratorIO extends Bundle { 62fa7f2c26STang Haojin val enq = Input(Bool()) 63fa7f2c26STang Haojin val enqData = Input(Vec(1, chiselTypeOf(dataType))) // make chisel happy 64fa7f2c26STang Haojin val deq = Input(Bool()) 65fa7f2c26STang Haojin val flush = Input(Bool()) 66fa7f2c26STang Haojin val snapshots = Output(Vec(RenameSnapshotNum, chiselTypeOf(dataType))) 67fa7f2c26STang Haojin val enqPtr = Output(new SnapshotPtr) 68fa7f2c26STang Haojin val deqPtr = Output(new SnapshotPtr) 69fa7f2c26STang Haojin val valids = Output(Vec(RenameSnapshotNum, Bool())) 70fa7f2c26STang Haojin } 71fa7f2c26STang Haojin 72fa7f2c26STang Haojin val io = IO(new SnapshotGeneratorIO) 73fa7f2c26STang Haojin 74fa7f2c26STang Haojin val snapshots = Reg(Vec(RenameSnapshotNum, chiselTypeOf(dataType))) 75fa7f2c26STang Haojin val snptEnqPtr = RegInit(0.U.asTypeOf(new SnapshotPtr)) 76fa7f2c26STang Haojin val snptDeqPtr = RegInit(0.U.asTypeOf(new SnapshotPtr)) 77fa7f2c26STang Haojin val snptValids = RegInit(VecInit.fill(RenameSnapshotNum)(false.B)) 78fa7f2c26STang Haojin 79fa7f2c26STang Haojin io.snapshots := snapshots 80fa7f2c26STang Haojin io.enqPtr := snptEnqPtr 81fa7f2c26STang Haojin io.deqPtr := snptDeqPtr 82fa7f2c26STang Haojin io.valids := snptValids 83fa7f2c26STang Haojin 84fa7f2c26STang Haojin when(!isFull(snptEnqPtr, snptDeqPtr) && io.enq) { 85fa7f2c26STang Haojin snapshots(snptEnqPtr.value) := io.enqData.head 86fa7f2c26STang Haojin snptValids(snptEnqPtr.value) := true.B 87fa7f2c26STang Haojin snptEnqPtr := snptEnqPtr + 1.U 88fa7f2c26STang Haojin } 89fa7f2c26STang Haojin when(io.deq) { 90fa7f2c26STang Haojin snptValids(snptDeqPtr.value) := false.B 91fa7f2c26STang Haojin snptDeqPtr := snptDeqPtr + 1.U 92fa7f2c26STang Haojin XSError(isEmpty(snptEnqPtr, snptDeqPtr), "snapshots should not be empty when dequeue!\n") 93fa7f2c26STang Haojin } 94fa7f2c26STang Haojin when(io.flush) { 95fa7f2c26STang Haojin snptValids := 0.U.asTypeOf(snptValids) 96fa7f2c26STang Haojin snptEnqPtr := 0.U.asTypeOf(new SnapshotPtr) 97fa7f2c26STang Haojin snptDeqPtr := 0.U.asTypeOf(new SnapshotPtr) 98fa7f2c26STang Haojin } 99fa7f2c26STang Haojin} 100fa7f2c26STang Haojin 1012225d46eSJiawei Linclass RedirectGenerator(implicit p: Parameters) extends XSModule 102f06ca0bfSLingrui98 with HasCircularQueuePtrHelper { 1032e1be6e1SSteve Gou 1042e1be6e1SSteve Gou class RedirectGeneratorIO(implicit p: Parameters) extends XSBundle { 1052e1be6e1SSteve Gou def numRedirect = exuParameters.JmpCnt + exuParameters.AluCnt 1065668a921SJiawei Lin val hartId = Input(UInt(8.W)) 107dfde261eSljw val exuMispredict = Vec(numRedirect, Flipped(ValidIO(new ExuOutput))) 1086c0bbf39Sljw val loadReplay = Flipped(ValidIO(new Redirect)) 1099ed972adSLinJiawei val flush = Input(Bool()) 110b56f947eSYinan Xu val redirectPcRead = new FtqRead(UInt(VAddrBits.W)) 111884dbb3bSLinJiawei val stage2Redirect = ValidIO(new Redirect) 112faf3cfa9SLinJiawei val stage3Redirect = ValidIO(new Redirect) 113de169c67SWilliam Wang val memPredUpdate = Output(new MemPredUpdateReq) 114e7b046c5Szoujr val memPredPcRead = new FtqRead(UInt(VAddrBits.W)) // read req send form stage 2 115eb163ef0SHaojin Tang val isMisspreRedirect = Output(Bool()) 1162e1be6e1SSteve Gou } 1172e1be6e1SSteve Gou val io = IO(new RedirectGeneratorIO) 118884dbb3bSLinJiawei /* 119884dbb3bSLinJiawei LoadQueue Jump ALU0 ALU1 ALU2 ALU3 exception Stage1 120884dbb3bSLinJiawei | | | | | | | 121faf3cfa9SLinJiawei |============= reg & compare =====| | ======== 12236d7aed5SLinJiawei | | 12336d7aed5SLinJiawei | | 12436d7aed5SLinJiawei | | Stage2 125884dbb3bSLinJiawei | | 126884dbb3bSLinJiawei redirect (flush backend) | 127884dbb3bSLinJiawei | | 128884dbb3bSLinJiawei === reg === | ======== 129884dbb3bSLinJiawei | | 130884dbb3bSLinJiawei |----- mux (exception first) -----| Stage3 131884dbb3bSLinJiawei | 132884dbb3bSLinJiawei redirect (send to frontend) 133884dbb3bSLinJiawei */ 134435a337cSYinan Xu def selectOldestRedirect(xs: Seq[Valid[Redirect]]): Vec[Bool] = { 1359aca92b9SYinan Xu val compareVec = (0 until xs.length).map(i => (0 until i).map(j => isAfter(xs(j).bits.robIdx, xs(i).bits.robIdx))) 136435a337cSYinan Xu val resultOnehot = VecInit((0 until xs.length).map(i => Cat((0 until xs.length).map(j => 137435a337cSYinan Xu (if (j < i) !xs(j).valid || compareVec(i)(j) 138435a337cSYinan Xu else if (j == i) xs(i).valid 139435a337cSYinan Xu else !xs(j).valid || !compareVec(j)(i)) 140435a337cSYinan Xu )).andR)) 141435a337cSYinan Xu resultOnehot 142dfde261eSljw } 143faf3cfa9SLinJiawei 144dfde261eSljw def getRedirect(exuOut: Valid[ExuOutput]): ValidIO[Redirect] = { 145dfde261eSljw val redirect = Wire(Valid(new Redirect)) 146dfde261eSljw redirect.valid := exuOut.valid && exuOut.bits.redirect.cfiUpdate.isMisPred 147dfde261eSljw redirect.bits := exuOut.bits.redirect 148d2b20d1aSTang Haojin redirect.bits.debugIsCtrl := true.B 149d2b20d1aSTang Haojin redirect.bits.debugIsMemVio := false.B 150dfde261eSljw redirect 151dfde261eSljw } 152dfde261eSljw 153dfde261eSljw val jumpOut = io.exuMispredict.head 154435a337cSYinan Xu val allRedirect = VecInit(io.exuMispredict.map(x => getRedirect(x)) :+ io.loadReplay) 155435a337cSYinan Xu val oldestOneHot = selectOldestRedirect(allRedirect) 156f4b2089aSYinan Xu val needFlushVec = VecInit(allRedirect.map(_.bits.robIdx.needFlush(io.stage2Redirect) || io.flush)) 157435a337cSYinan Xu val oldestValid = VecInit(oldestOneHot.zip(needFlushVec).map{ case (v, f) => v && !f }).asUInt.orR 158072158bfSYinan Xu val oldestExuOutput = Mux1H(io.exuMispredict.indices.map(oldestOneHot), io.exuMispredict) 159435a337cSYinan Xu val oldestRedirect = Mux1H(oldestOneHot, allRedirect) 160eb163ef0SHaojin Tang io.isMisspreRedirect := VecInit(io.exuMispredict.map(x => getRedirect(x).valid)).asUInt.orR 161b56f947eSYinan Xu io.redirectPcRead.ptr := oldestRedirect.bits.ftqIdx 162b56f947eSYinan Xu io.redirectPcRead.offset := oldestRedirect.bits.ftqOffset 163dfde261eSljw 1646060732cSLinJiawei val s1_jumpTarget = RegEnable(jumpOut.bits.redirect.cfiUpdate.target, jumpOut.valid) 165435a337cSYinan Xu val s1_imm12_reg = RegNext(oldestExuOutput.bits.uop.ctrl.imm(11, 0)) 166435a337cSYinan Xu val s1_pd = RegNext(oldestExuOutput.bits.uop.cf.pd) 167435a337cSYinan Xu val s1_redirect_bits_reg = RegNext(oldestRedirect.bits) 168435a337cSYinan Xu val s1_redirect_valid_reg = RegNext(oldestValid) 169435a337cSYinan Xu val s1_redirect_onehot = RegNext(oldestOneHot) 170faf3cfa9SLinJiawei 171faf3cfa9SLinJiawei // stage1 -> stage2 17227c1214eSLinJiawei io.stage2Redirect.valid := s1_redirect_valid_reg && !io.flush 173faf3cfa9SLinJiawei io.stage2Redirect.bits := s1_redirect_bits_reg 174faf3cfa9SLinJiawei 175072158bfSYinan Xu val s1_isReplay = s1_redirect_onehot.last 176072158bfSYinan Xu val s1_isJump = s1_redirect_onehot.head 177b56f947eSYinan Xu val real_pc = io.redirectPcRead.data 178dfde261eSljw val brTarget = real_pc + SignExt(ImmUnion.B.toImm32(s1_imm12_reg), XLEN) 179dfde261eSljw val snpc = real_pc + Mux(s1_pd.isRVC, 2.U, 4.U) 180435a337cSYinan Xu val target = Mux(s1_isReplay, 181c88c3a2aSYinan Xu real_pc, // replay from itself 182dfde261eSljw Mux(s1_redirect_bits_reg.cfiUpdate.taken, 183dfde261eSljw Mux(s1_isJump, s1_jumpTarget, brTarget), 1846060732cSLinJiawei snpc 185faf3cfa9SLinJiawei ) 186faf3cfa9SLinJiawei ) 1872b8b2e7aSWilliam Wang 1886f688dacSYinan Xu val stage2CfiUpdate = io.stage2Redirect.bits.cfiUpdate 1896f688dacSYinan Xu stage2CfiUpdate.pc := real_pc 1906f688dacSYinan Xu stage2CfiUpdate.pd := s1_pd 1912e1be6e1SSteve Gou // stage2CfiUpdate.predTaken := s1_redirect_bits_reg.cfiUpdate.predTaken 1926f688dacSYinan Xu stage2CfiUpdate.target := target 1932e1be6e1SSteve Gou // stage2CfiUpdate.taken := s1_redirect_bits_reg.cfiUpdate.taken 1942e1be6e1SSteve Gou // stage2CfiUpdate.isMisPred := s1_redirect_bits_reg.cfiUpdate.isMisPred 1956f688dacSYinan Xu 196005e809bSJiuyang Liu val s2_target = RegEnable(target, s1_redirect_valid_reg) 197005e809bSJiuyang Liu val s2_pc = RegEnable(real_pc, s1_redirect_valid_reg) 198005e809bSJiuyang Liu val s2_redirect_bits_reg = RegEnable(s1_redirect_bits_reg, s1_redirect_valid_reg) 1996f688dacSYinan Xu val s2_redirect_valid_reg = RegNext(s1_redirect_valid_reg && !io.flush, init = false.B) 2006f688dacSYinan Xu 2016f688dacSYinan Xu io.stage3Redirect.valid := s2_redirect_valid_reg 2026f688dacSYinan Xu io.stage3Redirect.bits := s2_redirect_bits_reg 2036f688dacSYinan Xu 204de169c67SWilliam Wang // get pc from ftq 205de169c67SWilliam Wang // valid only if redirect is caused by load violation 206de169c67SWilliam Wang // store_pc is used to update store set 207f06ca0bfSLingrui98 val store_pc = io.memPredPcRead(s1_redirect_bits_reg.stFtqIdx, s1_redirect_bits_reg.stFtqOffset) 2082b8b2e7aSWilliam Wang 209de169c67SWilliam Wang // update load violation predictor if load violation redirect triggered 210de169c67SWilliam Wang io.memPredUpdate.valid := RegNext(s1_isReplay && s1_redirect_valid_reg, init = false.B) 211de169c67SWilliam Wang // update wait table 212b56f947eSYinan Xu io.memPredUpdate.waddr := RegNext(XORFold(real_pc(VAddrBits-1, 1), MemPredPCWidth)) 213de169c67SWilliam Wang io.memPredUpdate.wdata := true.B 214de169c67SWilliam Wang // update store set 215b56f947eSYinan Xu io.memPredUpdate.ldpc := RegNext(XORFold(real_pc(VAddrBits-1, 1), MemPredPCWidth)) 216de169c67SWilliam Wang // store pc is ready 1 cycle after s1_isReplay is judged 217de169c67SWilliam Wang io.memPredUpdate.stpc := XORFold(store_pc(VAddrBits-1, 1), MemPredPCWidth) 218de169c67SWilliam Wang 21925ac26c6SWilliam Wang // // recover runahead checkpoint if redirect 22025ac26c6SWilliam Wang // if (!env.FPGAPlatform) { 22125ac26c6SWilliam Wang // val runahead_redirect = Module(new DifftestRunaheadRedirectEvent) 22225ac26c6SWilliam Wang // runahead_redirect.io.clock := clock 22325ac26c6SWilliam Wang // runahead_redirect.io.coreid := io.hartId 22425ac26c6SWilliam Wang // runahead_redirect.io.valid := io.stage3Redirect.valid 22525ac26c6SWilliam Wang // runahead_redirect.io.pc := s2_pc // for debug only 22625ac26c6SWilliam Wang // runahead_redirect.io.target_pc := s2_target // for debug only 22725ac26c6SWilliam Wang // runahead_redirect.io.checkpoint_id := io.stage3Redirect.bits.debug_runahead_checkpoint_id // make sure it is right 22825ac26c6SWilliam Wang // } 229884dbb3bSLinJiawei} 230884dbb3bSLinJiawei 2311cee9cb8SYinan Xuclass CtrlBlock(dpExuConfigs: Seq[Seq[Seq[ExuConfig]]])(implicit p: Parameters) extends LazyModule 2321ca0e4f3SYinan Xu with HasWritebackSink with HasWritebackSource { 2336ab6918fSYinan Xu val rob = LazyModule(new Rob) 2346ab6918fSYinan Xu 2356ab6918fSYinan Xu override def addWritebackSink(source: Seq[HasWritebackSource], index: Option[Seq[Int]]): HasWritebackSink = { 2366ab6918fSYinan Xu rob.addWritebackSink(Seq(this), Some(Seq(writebackSinks.length))) 2376ab6918fSYinan Xu super.addWritebackSink(source, index) 2386ab6918fSYinan Xu } 2396ab6918fSYinan Xu 2401cee9cb8SYinan Xu // duplicated dispatch2 here to avoid cross-module timing path loop. 2411cee9cb8SYinan Xu val dispatch2 = dpExuConfigs.map(c => LazyModule(new Dispatch2Rs(c))) 2426ab6918fSYinan Xu lazy val module = new CtrlBlockImp(this) 2436ab6918fSYinan Xu 2446ab6918fSYinan Xu override lazy val writebackSourceParams: Seq[WritebackSourceParams] = { 2456ab6918fSYinan Xu writebackSinksParams 2466ab6918fSYinan Xu } 2476ab6918fSYinan Xu override lazy val writebackSourceImp: HasWritebackSourceImp = module 2486ab6918fSYinan Xu 2496ab6918fSYinan Xu override def generateWritebackIO( 2506ab6918fSYinan Xu thisMod: Option[HasWritebackSource] = None, 2516ab6918fSYinan Xu thisModImp: Option[HasWritebackSourceImp] = None 2526ab6918fSYinan Xu ): Unit = { 2536ab6918fSYinan Xu module.io.writeback.zip(writebackSinksImp(thisMod, thisModImp)).foreach(x => x._1 := x._2) 2546ab6918fSYinan Xu } 2556ab6918fSYinan Xu} 2566ab6918fSYinan Xu 2576ab6918fSYinan Xuclass CtrlBlockImp(outer: CtrlBlock)(implicit p: Parameters) extends LazyModuleImp(outer) 2581ca0e4f3SYinan Xu with HasXSParameter 2591ca0e4f3SYinan Xu with HasCircularQueuePtrHelper 2601ca0e4f3SYinan Xu with HasWritebackSourceImp 2611ca0e4f3SYinan Xu with HasPerfEvents 2621ca0e4f3SYinan Xu{ 2636ab6918fSYinan Xu val writebackLengths = outer.writebackSinksParams.map(_.length) 2646ab6918fSYinan Xu 2658921b337SYinan Xu val io = IO(new Bundle { 2665668a921SJiawei Lin val hartId = Input(UInt(8.W)) 267b6900d94SYinan Xu val cpu_halt = Output(Bool()) 2685cbe3dbdSLingrui98 val frontend = Flipped(new FrontendToCtrlIO) 2691cee9cb8SYinan Xu // to exu blocks 2702b4e8253SYinan Xu val allocPregs = Vec(RenameWidth, Output(new ResetPregStateReq)) 2712b4e8253SYinan Xu val dispatch = Vec(3*dpParams.IntDqDeqWidth, DecoupledIO(new MicroOp)) 2721cee9cb8SYinan Xu val rsReady = Vec(outer.dispatch2.map(_.module.io.out.length).sum, Input(Bool())) 2731cee9cb8SYinan Xu val enqLsq = Flipped(new LsqEnqIO) 274e4f69d78Ssfencevma val lqCancelCnt = Input(UInt(log2Up(VirtualLoadQueueSize + 1).W)) 2751cee9cb8SYinan Xu val sqCancelCnt = Input(UInt(log2Up(StoreQueueSize + 1).W)) 276e4f69d78Ssfencevma val lqDeq = Input(UInt(log2Up(CommitWidth + 1).W)) 2771cee9cb8SYinan Xu val sqDeq = Input(UInt(log2Ceil(EnsbufferWidth + 1).W)) 278d2b20d1aSTang Haojin val sqCanAccept = Input(Bool()) 279d2b20d1aSTang Haojin val lqCanAccept = Input(Bool()) 280a878cf6cSLinJiawei val ld_pc_read = Vec(exuParameters.LduCnt, Flipped(new FtqRead(UInt(VAddrBits.W)))) 281*0d32f713Shappy-lx val st_pc_read = Vec(exuParameters.StuCnt, Flipped(new FtqRead(UInt(VAddrBits.W)))) 28266220144SYinan Xu // from int block 28366220144SYinan Xu val exuRedirect = Vec(exuParameters.AluCnt + exuParameters.JmpCnt, Flipped(ValidIO(new ExuOutput))) 28466220144SYinan Xu val stIn = Vec(exuParameters.StuCnt, Flipped(ValidIO(new ExuInput))) 28566220144SYinan Xu val memoryViolation = Flipped(ValidIO(new Redirect)) 28666220144SYinan Xu val jumpPc = Output(UInt(VAddrBits.W)) 28766220144SYinan Xu val jalr_target = Output(UInt(VAddrBits.W)) 2889aca92b9SYinan Xu val robio = new Bundle { 2891c2588aaSYinan Xu // to int block 2909aca92b9SYinan Xu val toCSR = new RobCSRIO 2913a474d38SYinan Xu val exception = ValidIO(new ExceptionInfo) 2921c2588aaSYinan Xu // to mem block 2939aca92b9SYinan Xu val lsq = new RobLsqIO 2948744445eSMaxpicca-Li // debug 2958744445eSMaxpicca-Li val debug_ls = Flipped(new DebugLSIO) 296d2b20d1aSTang Haojin val lsTopdownInfo = Vec(exuParameters.LduCnt, Input(new LsTopdownInfo)) 2971c2588aaSYinan Xu } 2982b8b2e7aSWilliam Wang val csrCtrl = Input(new CustomCSRCtrlIO) 299edd6ddbcSwakafa val perfInfo = Output(new Bundle{ 300edd6ddbcSwakafa val ctrlInfo = new Bundle { 3019aca92b9SYinan Xu val robFull = Input(Bool()) 302edd6ddbcSwakafa val intdqFull = Input(Bool()) 303edd6ddbcSwakafa val fpdqFull = Input(Bool()) 304edd6ddbcSwakafa val lsdqFull = Input(Bool()) 305edd6ddbcSwakafa } 306edd6ddbcSwakafa }) 3076ab6918fSYinan Xu val writeback = MixedVec(writebackLengths.map(num => Vec(num, Flipped(ValidIO(new ExuOutput))))) 30866220144SYinan Xu // redirect out 30966220144SYinan Xu val redirect = ValidIO(new Redirect) 310d2b20d1aSTang Haojin // debug 31166220144SYinan Xu val debug_int_rat = Vec(32, Output(UInt(PhyRegIdxWidth.W))) 31266220144SYinan Xu val debug_fp_rat = Vec(32, Output(UInt(PhyRegIdxWidth.W))) 313d2b20d1aSTang Haojin val robDeqPtr = Output(new RobPtr) 314d2b20d1aSTang Haojin val robHeadLsIssue = Input(Bool()) 3158921b337SYinan Xu }) 3168921b337SYinan Xu 3176ab6918fSYinan Xu override def writebackSource: Option[Seq[Seq[Valid[ExuOutput]]]] = { 3186ab6918fSYinan Xu Some(io.writeback.map(writeback => { 3196ab6918fSYinan Xu val exuOutput = WireInit(writeback) 3206ab6918fSYinan Xu val timer = GTimer() 3216ab6918fSYinan Xu for ((wb_next, wb) <- exuOutput.zip(writeback)) { 3220dc4893dSYinan Xu wb_next.valid := RegNext(wb.valid && !wb.bits.uop.robIdx.needFlush(Seq(stage2Redirect, redirectForExu))) 3236ab6918fSYinan Xu wb_next.bits := RegNext(wb.bits) 3246ab6918fSYinan Xu wb_next.bits.uop.debugInfo.writebackTime := timer 3256ab6918fSYinan Xu } 3266ab6918fSYinan Xu exuOutput 3276ab6918fSYinan Xu })) 3286ab6918fSYinan Xu } 3296ab6918fSYinan Xu 3308921b337SYinan Xu val decode = Module(new DecodeStage) 3310febc381SYinan Xu val fusionDecoder = Module(new FusionDecoder) 3327fa2c198SYinan Xu val rat = Module(new RenameTableWrapper) 333980c1bc3SWilliam Wang val ssit = Module(new SSIT) 334980c1bc3SWilliam Wang val waittable = Module(new WaitTable) 3358921b337SYinan Xu val rename = Module(new Rename) 336694b0180SLinJiawei val dispatch = Module(new Dispatch) 3371ca0e4f3SYinan Xu val intDq = Module(new DispatchQueue(dpParams.IntDqSize, RenameWidth, dpParams.IntDqDeqWidth)) 3381ca0e4f3SYinan Xu val fpDq = Module(new DispatchQueue(dpParams.FpDqSize, RenameWidth, dpParams.FpDqDeqWidth)) 3391ca0e4f3SYinan Xu val lsDq = Module(new DispatchQueue(dpParams.LsDqSize, RenameWidth, dpParams.LsDqDeqWidth)) 340884dbb3bSLinJiawei val redirectGen = Module(new RedirectGenerator) 3418744445eSMaxpicca-Li val rob = outer.rob.module 3428744445eSMaxpicca-Li 3438744445eSMaxpicca-Li // jumpPc (2) + redirects (1) + loadPredUpdate (1) + jalr_target (1) + [ld pc (LduCnt)] + robWriteback (sum(writebackLengths)) + robFlush (1) 3448744445eSMaxpicca-Li val PCMEMIDX_LD = 5 345*0d32f713Shappy-lx val PCMEMIDX_ST = PCMEMIDX_LD + exuParameters.LduCnt 346*0d32f713Shappy-lx val PCMEM_READ_PORT_COUNT = if(EnableStorePrefetchSMS) 6 + exuParameters.LduCnt + exuParameters.StuCnt else 6 + exuParameters.LduCnt 347a878cf6cSLinJiawei val pcMem = Module(new SyncDataModuleTemplate( 348a878cf6cSLinJiawei new Ftq_RF_Components, FtqSize, 349*0d32f713Shappy-lx PCMEM_READ_PORT_COUNT, 1, "CtrlPcMem") 350a878cf6cSLinJiawei ) 351b56f947eSYinan Xu pcMem.io.wen.head := RegNext(io.frontend.fromFtq.pc_mem_wen) 352b56f947eSYinan Xu pcMem.io.waddr.head := RegNext(io.frontend.fromFtq.pc_mem_waddr) 353b56f947eSYinan Xu pcMem.io.wdata.head := RegNext(io.frontend.fromFtq.pc_mem_wdata) 354b56f947eSYinan Xu 355b56f947eSYinan Xu pcMem.io.raddr.last := rob.io.flushOut.bits.ftqIdx.value 356b56f947eSYinan Xu val flushPC = pcMem.io.rdata.last.getPc(RegNext(rob.io.flushOut.bits.ftqOffset)) 357f4b2089aSYinan Xu 358f4b2089aSYinan Xu val flushRedirect = Wire(Valid(new Redirect)) 359f4b2089aSYinan Xu flushRedirect.valid := RegNext(rob.io.flushOut.valid) 360f4b2089aSYinan Xu flushRedirect.bits := RegEnable(rob.io.flushOut.bits, rob.io.flushOut.valid) 361d2b20d1aSTang Haojin flushRedirect.bits.debugIsCtrl := false.B 362d2b20d1aSTang Haojin flushRedirect.bits.debugIsMemVio := false.B 363f4b2089aSYinan Xu 364f4b2089aSYinan Xu val flushRedirectReg = Wire(Valid(new Redirect)) 365f4b2089aSYinan Xu flushRedirectReg.valid := RegNext(flushRedirect.valid, init = false.B) 366005e809bSJiuyang Liu flushRedirectReg.bits := RegEnable(flushRedirect.bits, flushRedirect.valid) 367f4b2089aSYinan Xu 368f4b2089aSYinan Xu val stage2Redirect = Mux(flushRedirect.valid, flushRedirect, redirectGen.io.stage2Redirect) 3690dc4893dSYinan Xu // Redirect will be RegNext at ExuBlocks. 3700dc4893dSYinan Xu val redirectForExu = RegNextWithEnable(stage2Redirect) 371faf3cfa9SLinJiawei 37266220144SYinan Xu val exuRedirect = io.exuRedirect.map(x => { 373dfde261eSljw val valid = x.valid && x.bits.redirectValid 3740dc4893dSYinan Xu val killedByOlder = x.bits.uop.robIdx.needFlush(Seq(stage2Redirect, redirectForExu)) 375dfde261eSljw val delayed = Wire(Valid(new ExuOutput)) 376dfde261eSljw delayed.valid := RegNext(valid && !killedByOlder, init = false.B) 377dfde261eSljw delayed.bits := RegEnable(x.bits, x.valid) 378dfde261eSljw delayed 379faf3cfa9SLinJiawei }) 380c1b37c81Sljw val loadReplay = Wire(Valid(new Redirect)) 38166220144SYinan Xu loadReplay.valid := RegNext(io.memoryViolation.valid && 3820dc4893dSYinan Xu !io.memoryViolation.bits.robIdx.needFlush(Seq(stage2Redirect, redirectForExu)), 383c1b37c81Sljw init = false.B 384c1b37c81Sljw ) 385d2b20d1aSTang Haojin val memVioBits = WireDefault(io.memoryViolation.bits) 386d2b20d1aSTang Haojin memVioBits.debugIsCtrl := false.B 387d2b20d1aSTang Haojin memVioBits.debugIsMemVio := true.B 388d2b20d1aSTang Haojin loadReplay.bits := RegEnable(memVioBits, io.memoryViolation.valid) 389b56f947eSYinan Xu pcMem.io.raddr(2) := redirectGen.io.redirectPcRead.ptr.value 390b56f947eSYinan Xu redirectGen.io.redirectPcRead.data := pcMem.io.rdata(2).getPc(RegNext(redirectGen.io.redirectPcRead.offset)) 391b56f947eSYinan Xu pcMem.io.raddr(3) := redirectGen.io.memPredPcRead.ptr.value 392b56f947eSYinan Xu redirectGen.io.memPredPcRead.data := pcMem.io.rdata(3).getPc(RegNext(redirectGen.io.memPredPcRead.offset)) 3935668a921SJiawei Lin redirectGen.io.hartId := io.hartId 394dfde261eSljw redirectGen.io.exuMispredict <> exuRedirect 395c1b37c81Sljw redirectGen.io.loadReplay <> loadReplay 3966f688dacSYinan Xu redirectGen.io.flush := flushRedirect.valid 3978921b337SYinan Xu 398df5b4b8eSYinan Xu val frontendFlushValid = DelayN(flushRedirect.valid, 5) 399df5b4b8eSYinan Xu val frontendFlushBits = RegEnable(flushRedirect.bits, flushRedirect.valid) 400a1351e5dSJay // When ROB commits an instruction with a flush, we notify the frontend of the flush without the commit. 401a1351e5dSJay // Flushes to frontend may be delayed by some cycles and commit before flush causes errors. 402a1351e5dSJay // Thus, we make all flush reasons to behave the same as exceptions for frontend. 403884dbb3bSLinJiawei for (i <- 0 until CommitWidth) { 4046474c47fSYinan Xu // why flushOut: instructions with flushPipe are not commited to frontend 4056474c47fSYinan Xu // If we commit them to frontend, it will cause flush after commit, which is not acceptable by frontend. 4066474c47fSYinan Xu val is_commit = rob.io.commits.commitValid(i) && rob.io.commits.isCommit && !rob.io.flushOut.valid 407a1351e5dSJay io.frontend.toFtq.rob_commits(i).valid := RegNext(is_commit) 408a1351e5dSJay io.frontend.toFtq.rob_commits(i).bits := RegEnable(rob.io.commits.info(i), is_commit) 409884dbb3bSLinJiawei } 410df5b4b8eSYinan Xu io.frontend.toFtq.redirect.valid := frontendFlushValid || redirectGen.io.stage2Redirect.valid 411df5b4b8eSYinan Xu io.frontend.toFtq.redirect.bits := Mux(frontendFlushValid, frontendFlushBits, redirectGen.io.stage2Redirect.bits) 412df5b4b8eSYinan Xu // Be careful here: 413df5b4b8eSYinan Xu // T0: flushRedirect.valid, exception.valid 414df5b4b8eSYinan Xu // T1: csr.redirect.valid 415df5b4b8eSYinan Xu // T2: csr.exception.valid 416df5b4b8eSYinan Xu // T3: csr.trapTarget 417df5b4b8eSYinan Xu // T4: ctrlBlock.trapTarget 418df5b4b8eSYinan Xu // T5: io.frontend.toFtq.stage2Redirect.valid 419df5b4b8eSYinan Xu val pc_from_csr = io.robio.toCSR.isXRet || DelayN(rob.io.exception.valid, 4) 420df5b4b8eSYinan Xu val rob_flush_pc = RegEnable(Mux(flushRedirect.bits.flushItself(), 421df5b4b8eSYinan Xu flushPC, // replay inst 42214a67055Ssfencevma flushPC + Mux(flushRedirect.bits.isRVC, 2.U, 4.U) // flush pipe 423df5b4b8eSYinan Xu ), flushRedirect.valid) 424df5b4b8eSYinan Xu val flushTarget = Mux(pc_from_csr, io.robio.toCSR.trapTarget, rob_flush_pc) 4252e1be6e1SSteve Gou when (frontendFlushValid) { 4262e1be6e1SSteve Gou io.frontend.toFtq.redirect.bits.level := RedirectLevel.flush 427df5b4b8eSYinan Xu io.frontend.toFtq.redirect.bits.cfiUpdate.target := RegNext(flushTarget) 428a1351e5dSJay } 4292e1be6e1SSteve Gou 4302e1be6e1SSteve Gou 4316f688dacSYinan Xu val pendingRedirect = RegInit(false.B) 4326f688dacSYinan Xu when (stage2Redirect.valid) { 4336f688dacSYinan Xu pendingRedirect := true.B 434df5b4b8eSYinan Xu }.elsewhen (RegNext(io.frontend.toFtq.redirect.valid)) { 4356f688dacSYinan Xu pendingRedirect := false.B 4366f688dacSYinan Xu } 43766bcc42fSYinan Xu 4388921b337SYinan Xu decode.io.in <> io.frontend.cfVec 439d2b20d1aSTang Haojin decode.io.stallReason.in <> io.frontend.stallReason 440fd7603d9SYinan Xu decode.io.csrCtrl := RegNext(io.csrCtrl) 441a0db5a4bSYinan Xu decode.io.intRat <> rat.io.intReadPorts 442a0db5a4bSYinan Xu decode.io.fpRat <> rat.io.fpReadPorts 443980c1bc3SWilliam Wang 444980c1bc3SWilliam Wang // memory dependency predict 445980c1bc3SWilliam Wang // when decode, send fold pc to mdp 446980c1bc3SWilliam Wang for (i <- 0 until DecodeWidth) { 447980c1bc3SWilliam Wang val mdp_foldpc = Mux( 448a0db5a4bSYinan Xu decode.io.out(i).fire, 449980c1bc3SWilliam Wang decode.io.in(i).bits.foldpc, 450980c1bc3SWilliam Wang rename.io.in(i).bits.cf.foldpc 451980c1bc3SWilliam Wang ) 452980c1bc3SWilliam Wang ssit.io.raddr(i) := mdp_foldpc 453980c1bc3SWilliam Wang waittable.io.raddr(i) := mdp_foldpc 454980c1bc3SWilliam Wang } 455980c1bc3SWilliam Wang // currently, we only update mdp info when isReplay 456980c1bc3SWilliam Wang ssit.io.update <> RegNext(redirectGen.io.memPredUpdate) 457980c1bc3SWilliam Wang ssit.io.csrCtrl := RegNext(io.csrCtrl) 458980c1bc3SWilliam Wang waittable.io.update <> RegNext(redirectGen.io.memPredUpdate) 459980c1bc3SWilliam Wang waittable.io.csrCtrl := RegNext(io.csrCtrl) 460980c1bc3SWilliam Wang 461fa7f2c26STang Haojin // snapshot check 462fa7f2c26STang Haojin val snpt = Module(new SnapshotGenerator(rename.io.out.head.bits.robIdx)) 463fa7f2c26STang Haojin snpt.io.enq := rename.io.out.head.bits.snapshot && rename.io.out.head.fire 464fa7f2c26STang Haojin snpt.io.enqData.head := rename.io.out.head.bits.robIdx 465fa7f2c26STang Haojin snpt.io.deq := snpt.io.valids(snpt.io.deqPtr.value) && rob.io.commits.isCommit && 466fa7f2c26STang Haojin Cat(rob.io.commits.commitValid.zip(rob.io.commits.robIdx).map(x => x._1 && x._2 === snpt.io.snapshots(snpt.io.deqPtr.value))).orR 467fa7f2c26STang Haojin snpt.io.flush := stage2Redirect.valid 468fa7f2c26STang Haojin 469fa7f2c26STang Haojin val useSnpt = VecInit.tabulate(RenameSnapshotNum)(idx => 470fa7f2c26STang Haojin snpt.io.valids(idx) && stage2Redirect.bits.robIdx >= snpt.io.snapshots(idx)).reduceTree(_ || _) 471fa7f2c26STang Haojin val snptSelect = MuxCase(0.U(log2Ceil(RenameSnapshotNum).W), 472fa7f2c26STang Haojin (1 to RenameSnapshotNum).map(i => (snpt.io.enqPtr - i.U).value).map(idx => 473fa7f2c26STang Haojin (snpt.io.valids(idx) && stage2Redirect.bits.robIdx >= snpt.io.snapshots(idx), idx) 474fa7f2c26STang Haojin )) 475fa7f2c26STang Haojin 476fa7f2c26STang Haojin rob.io.snpt.snptEnq := DontCare 477fa7f2c26STang Haojin rob.io.snpt.snptDeq := snpt.io.deq 478fa7f2c26STang Haojin rob.io.snpt.useSnpt := useSnpt 479fa7f2c26STang Haojin rob.io.snpt.snptSelect := snptSelect 480fa7f2c26STang Haojin rat.io.snpt.snptEnq := rename.io.out.head.bits.snapshot && rename.io.out.head.fire 481fa7f2c26STang Haojin rat.io.snpt.snptDeq := snpt.io.deq 482fa7f2c26STang Haojin rat.io.snpt.useSnpt := useSnpt 483fa7f2c26STang Haojin rat.io.snpt.snptSelect := snptSelect 484fa7f2c26STang Haojin rename.io.snpt.snptEnq := DontCare 485fa7f2c26STang Haojin rename.io.snpt.snptDeq := snpt.io.deq 486fa7f2c26STang Haojin rename.io.snpt.useSnpt := useSnpt 487fa7f2c26STang Haojin rename.io.snpt.snptSelect := snptSelect 488fa7f2c26STang Haojin 489fa7f2c26STang Haojin // prevent rob from generating snapshot when full here 490fa7f2c26STang Haojin val renameOut = Wire(chiselTypeOf(rename.io.out)) 491fa7f2c26STang Haojin renameOut <> rename.io.out 492fa7f2c26STang Haojin when(isFull(snpt.io.enqPtr, snpt.io.deqPtr)) { 493fa7f2c26STang Haojin renameOut.head.bits.snapshot := false.B 494fa7f2c26STang Haojin } 495fa7f2c26STang Haojin 496980c1bc3SWilliam Wang // LFST lookup and update 497159372ddSsfencevma dispatch.io.lfst := DontCare 498159372ddSsfencevma if (LFSTEnable) { 499980c1bc3SWilliam Wang val lfst = Module(new LFST) 500980c1bc3SWilliam Wang lfst.io.redirect <> RegNext(io.redirect) 501980c1bc3SWilliam Wang lfst.io.storeIssue <> RegNext(io.stIn) 502980c1bc3SWilliam Wang lfst.io.csrCtrl <> RegNext(io.csrCtrl) 503980c1bc3SWilliam Wang lfst.io.dispatch <> dispatch.io.lfst 504159372ddSsfencevma } 505159372ddSsfencevma 5062b8b2e7aSWilliam Wang 507ccfddc82SHaojin Tang rat.io.redirect := stage2Redirect.valid 5087fa2c198SYinan Xu rat.io.robCommits := rob.io.commits 5097fa2c198SYinan Xu rat.io.intRenamePorts := rename.io.intRenamePorts 5107fa2c198SYinan Xu rat.io.fpRenamePorts := rename.io.fpRenamePorts 5117fa2c198SYinan Xu rat.io.debug_int_rat <> io.debug_int_rat 5127fa2c198SYinan Xu rat.io.debug_fp_rat <> io.debug_fp_rat 5130412e00dSLinJiawei 5142b4e8253SYinan Xu // pipeline between decode and rename 515b424051cSYinan Xu for (i <- 0 until RenameWidth) { 5160febc381SYinan Xu // fusion decoder 5170febc381SYinan Xu val decodeHasException = io.frontend.cfVec(i).bits.exceptionVec(instrPageFault) || io.frontend.cfVec(i).bits.exceptionVec(instrAccessFault) 5185b47c58cSYinan Xu val disableFusion = decode.io.csrCtrl.singlestep || !decode.io.csrCtrl.fusion_enable 5190febc381SYinan Xu fusionDecoder.io.in(i).valid := io.frontend.cfVec(i).valid && !(decodeHasException || disableFusion) 5200febc381SYinan Xu fusionDecoder.io.in(i).bits := io.frontend.cfVec(i).bits.instr 5210febc381SYinan Xu if (i > 0) { 5220febc381SYinan Xu fusionDecoder.io.inReady(i - 1) := decode.io.out(i).ready 5230febc381SYinan Xu } 5240febc381SYinan Xu 5250febc381SYinan Xu // Pipeline 5260febc381SYinan Xu val renamePipe = PipelineNext(decode.io.out(i), rename.io.in(i).ready, 5276f688dacSYinan Xu stage2Redirect.valid || pendingRedirect) 5280febc381SYinan Xu renamePipe.ready := rename.io.in(i).ready 5290febc381SYinan Xu rename.io.in(i).valid := renamePipe.valid && !fusionDecoder.io.clear(i) 5300febc381SYinan Xu rename.io.in(i).bits := renamePipe.bits 531a0db5a4bSYinan Xu rename.io.intReadPorts(i) := rat.io.intReadPorts(i).map(_.data) 532a0db5a4bSYinan Xu rename.io.fpReadPorts(i) := rat.io.fpReadPorts(i).map(_.data) 533a0db5a4bSYinan Xu rename.io.waittable(i) := RegEnable(waittable.io.rdata(i), decode.io.out(i).fire) 5340febc381SYinan Xu 5350febc381SYinan Xu if (i < RenameWidth - 1) { 5360febc381SYinan Xu // fusion decoder sees the raw decode info 5370febc381SYinan Xu fusionDecoder.io.dec(i) := renamePipe.bits.ctrl 5380febc381SYinan Xu rename.io.fusionInfo(i) := fusionDecoder.io.info(i) 5390febc381SYinan Xu 5400febc381SYinan Xu // update the first RenameWidth - 1 instructions 5410febc381SYinan Xu decode.io.fusion(i) := fusionDecoder.io.out(i).valid && rename.io.out(i).fire 5420febc381SYinan Xu when (fusionDecoder.io.out(i).valid) { 5430febc381SYinan Xu fusionDecoder.io.out(i).bits.update(rename.io.in(i).bits.ctrl) 5440febc381SYinan Xu // TODO: remove this dirty code for ftq update 5450febc381SYinan Xu val sameFtqPtr = rename.io.in(i).bits.cf.ftqPtr.value === rename.io.in(i + 1).bits.cf.ftqPtr.value 5460febc381SYinan Xu val ftqOffset0 = rename.io.in(i).bits.cf.ftqOffset 5470febc381SYinan Xu val ftqOffset1 = rename.io.in(i + 1).bits.cf.ftqOffset 5480febc381SYinan Xu val ftqOffsetDiff = ftqOffset1 - ftqOffset0 5490febc381SYinan Xu val cond1 = sameFtqPtr && ftqOffsetDiff === 1.U 5500febc381SYinan Xu val cond2 = sameFtqPtr && ftqOffsetDiff === 2.U 5510febc381SYinan Xu val cond3 = !sameFtqPtr && ftqOffset1 === 0.U 5520febc381SYinan Xu val cond4 = !sameFtqPtr && ftqOffset1 === 1.U 5530febc381SYinan Xu rename.io.in(i).bits.ctrl.commitType := Mux(cond1, 4.U, Mux(cond2, 5.U, Mux(cond3, 6.U, 7.U))) 5540febc381SYinan Xu XSError(!cond1 && !cond2 && !cond3 && !cond4, p"new condition $sameFtqPtr $ftqOffset0 $ftqOffset1\n") 5550febc381SYinan Xu } 5560febc381SYinan Xu } 557b424051cSYinan Xu } 5588921b337SYinan Xu 55951981c77SbugGenerator rename.io.redirect := stage2Redirect 5609aca92b9SYinan Xu rename.io.robCommits <> rob.io.commits 561980c1bc3SWilliam Wang rename.io.ssit <> ssit.io.rdata 562dcf3a679STang Haojin rename.io.int_need_free := rat.io.int_need_free 563dcf3a679STang Haojin rename.io.int_old_pdest := rat.io.int_old_pdest 564dcf3a679STang Haojin rename.io.fp_old_pdest := rat.io.fp_old_pdest 565ccfddc82SHaojin Tang rename.io.debug_int_rat <> rat.io.debug_int_rat 566ccfddc82SHaojin Tang rename.io.debug_fp_rat <> rat.io.debug_fp_rat 567d2b20d1aSTang Haojin rename.io.stallReason.in <> decode.io.stallReason.out 5688921b337SYinan Xu 5692b4e8253SYinan Xu // pipeline between rename and dispatch 5702b4e8253SYinan Xu for (i <- 0 until RenameWidth) { 571fa7f2c26STang Haojin PipelineConnect(renameOut(i), dispatch.io.fromRename(i), dispatch.io.recv(i), stage2Redirect.valid) 5722b4e8253SYinan Xu } 5732b4e8253SYinan Xu 5745668a921SJiawei Lin dispatch.io.hartId := io.hartId 57551981c77SbugGenerator dispatch.io.redirect := stage2Redirect 5769aca92b9SYinan Xu dispatch.io.enqRob <> rob.io.enq 5772b4e8253SYinan Xu dispatch.io.toIntDq <> intDq.io.enq 5782b4e8253SYinan Xu dispatch.io.toFpDq <> fpDq.io.enq 5792b4e8253SYinan Xu dispatch.io.toLsDq <> lsDq.io.enq 5802b4e8253SYinan Xu dispatch.io.allocPregs <> io.allocPregs 581d2b20d1aSTang Haojin dispatch.io.robHead := rob.io.debugRobHead 582d2b20d1aSTang Haojin dispatch.io.stallReason <> rename.io.stallReason.out 583d2b20d1aSTang Haojin dispatch.io.lqCanAccept := io.lqCanAccept 584d2b20d1aSTang Haojin dispatch.io.sqCanAccept := io.sqCanAccept 585d2b20d1aSTang Haojin dispatch.io.robHeadNotReady := rob.io.headNotReady 586d2b20d1aSTang Haojin dispatch.io.robFull := rob.io.robFull 587d7dd1af1SLi Qianruo dispatch.io.singleStep := RegNext(io.csrCtrl.singlestep) 5880412e00dSLinJiawei 5890dc4893dSYinan Xu intDq.io.redirect <> redirectForExu 5900dc4893dSYinan Xu fpDq.io.redirect <> redirectForExu 5910dc4893dSYinan Xu lsDq.io.redirect <> redirectForExu 5922b4e8253SYinan Xu 5931cee9cb8SYinan Xu val dpqOut = intDq.io.deq ++ lsDq.io.deq ++ fpDq.io.deq 5941cee9cb8SYinan Xu io.dispatch <> dpqOut 5951cee9cb8SYinan Xu 5961cee9cb8SYinan Xu for (dp2 <- outer.dispatch2.map(_.module.io)) { 5971cee9cb8SYinan Xu dp2.redirect := redirectForExu 5981cee9cb8SYinan Xu if (dp2.readFpState.isDefined) { 5991cee9cb8SYinan Xu dp2.readFpState.get := DontCare 6001cee9cb8SYinan Xu } 6011cee9cb8SYinan Xu if (dp2.readIntState.isDefined) { 6021cee9cb8SYinan Xu dp2.readIntState.get := DontCare 6031cee9cb8SYinan Xu } 6041cee9cb8SYinan Xu if (dp2.enqLsq.isDefined) { 6051cee9cb8SYinan Xu val lsqCtrl = Module(new LsqEnqCtrl) 6061cee9cb8SYinan Xu lsqCtrl.io.redirect <> redirectForExu 6071cee9cb8SYinan Xu lsqCtrl.io.enq <> dp2.enqLsq.get 608e4f69d78Ssfencevma lsqCtrl.io.lcommit := io.lqDeq 6091cee9cb8SYinan Xu lsqCtrl.io.scommit := io.sqDeq 6101cee9cb8SYinan Xu lsqCtrl.io.lqCancelCnt := io.lqCancelCnt 6111cee9cb8SYinan Xu lsqCtrl.io.sqCancelCnt := io.sqCancelCnt 6121cee9cb8SYinan Xu io.enqLsq <> lsqCtrl.io.enqLsq 613d2b20d1aSTang Haojin rob.io.debugEnqLsq := io.enqLsq 6141cee9cb8SYinan Xu } 6151cee9cb8SYinan Xu } 6161cee9cb8SYinan Xu for ((dp2In, i) <- outer.dispatch2.flatMap(_.module.io.in).zipWithIndex) { 6171cee9cb8SYinan Xu dp2In.valid := dpqOut(i).valid 6181cee9cb8SYinan Xu dp2In.bits := dpqOut(i).bits 6191cee9cb8SYinan Xu // override ready here to avoid cross-module loop path 6201cee9cb8SYinan Xu dpqOut(i).ready := dp2In.ready 6211cee9cb8SYinan Xu } 6221cee9cb8SYinan Xu for ((dp2Out, i) <- outer.dispatch2.flatMap(_.module.io.out).zipWithIndex) { 6231cee9cb8SYinan Xu dp2Out.ready := io.rsReady(i) 6241cee9cb8SYinan Xu } 6253fae98acSYinan Xu 626f973ab00SYinan Xu val pingpong = RegInit(false.B) 627f973ab00SYinan Xu pingpong := !pingpong 628b56f947eSYinan Xu pcMem.io.raddr(0) := intDq.io.deqNext(0).cf.ftqPtr.value 629b56f947eSYinan Xu pcMem.io.raddr(1) := intDq.io.deqNext(2).cf.ftqPtr.value 630b56f947eSYinan Xu val jumpPcRead0 = pcMem.io.rdata(0).getPc(RegNext(intDq.io.deqNext(0).cf.ftqOffset)) 631b56f947eSYinan Xu val jumpPcRead1 = pcMem.io.rdata(1).getPc(RegNext(intDq.io.deqNext(2).cf.ftqOffset)) 632b56f947eSYinan Xu io.jumpPc := Mux(pingpong && (exuParameters.AluCnt > 2).B, jumpPcRead1, jumpPcRead0) 633873dc383SLingrui98 val jalrTargetReadPtr = Mux(pingpong && (exuParameters.AluCnt > 2).B, 634f70fe10fSYinan Xu io.dispatch(2).bits.cf.ftqPtr, 635f70fe10fSYinan Xu io.dispatch(0).bits.cf.ftqPtr) 636873dc383SLingrui98 pcMem.io.raddr(4) := (jalrTargetReadPtr + 1.U).value 637873dc383SLingrui98 val jalrTargetRead = pcMem.io.rdata(4).startAddr 638873dc383SLingrui98 val read_from_newest_entry = RegNext(jalrTargetReadPtr) === RegNext(io.frontend.fromFtq.newest_entry_ptr) 639873dc383SLingrui98 io.jalr_target := Mux(read_from_newest_entry, RegNext(io.frontend.fromFtq.newest_entry_target), jalrTargetRead) 640a878cf6cSLinJiawei for(i <- 0 until exuParameters.LduCnt){ 641a878cf6cSLinJiawei // load s0 -> get rdata (s1) -> reg next (s2) -> output (s2) 6428744445eSMaxpicca-Li pcMem.io.raddr(i + PCMEMIDX_LD) := io.ld_pc_read(i).ptr.value 643*0d32f713Shappy-lx io.ld_pc_read(i).data := pcMem.io.rdata(i + PCMEMIDX_LD).getPc(RegNext(io.ld_pc_read(i).offset)) 644*0d32f713Shappy-lx } 645*0d32f713Shappy-lx if(EnableStorePrefetchSMS) { 646*0d32f713Shappy-lx for(i <- 0 until exuParameters.StuCnt){ 647*0d32f713Shappy-lx // store s0 -> get rdata (s1) -> reg next (s2) -> output (s2) 648*0d32f713Shappy-lx pcMem.io.raddr(i + PCMEMIDX_ST) := io.st_pc_read(i).ptr.value 649*0d32f713Shappy-lx io.st_pc_read(i).data := pcMem.io.rdata(i + PCMEMIDX_ST).getPc(RegNext(io.st_pc_read(i).offset)) 650*0d32f713Shappy-lx } 651*0d32f713Shappy-lx }else { 652*0d32f713Shappy-lx for(i <- 0 until exuParameters.StuCnt){ 653*0d32f713Shappy-lx io.st_pc_read(i).data := 0.U 654*0d32f713Shappy-lx } 655a878cf6cSLinJiawei } 6567fa2c198SYinan Xu 6575668a921SJiawei Lin rob.io.hartId := io.hartId 658b6900d94SYinan Xu io.cpu_halt := DelayN(rob.io.cpu_halt, 5) 65951981c77SbugGenerator rob.io.redirect := stage2Redirect 6606ab6918fSYinan Xu outer.rob.generateWritebackIO(Some(outer), Some(this)) 6610412e00dSLinJiawei 66251981c77SbugGenerator io.redirect := stage2Redirect 6630412e00dSLinJiawei 6649aca92b9SYinan Xu // rob to int block 6659aca92b9SYinan Xu io.robio.toCSR <> rob.io.csr 6665b47c58cSYinan Xu // When wfi is disabled, it will not block ROB commit. 66709309bdbSYinan Xu rob.io.csr.wfiEvent := io.robio.toCSR.wfiEvent 66809309bdbSYinan Xu rob.io.wfi_enable := decode.io.csrCtrl.wfi_enable 6699aca92b9SYinan Xu io.robio.toCSR.perfinfo.retiredInstr <> RegNext(rob.io.csr.perfinfo.retiredInstr) 6709aca92b9SYinan Xu io.robio.exception := rob.io.exception 6719aca92b9SYinan Xu io.robio.exception.bits.uop.cf.pc := flushPC 6722b4e8253SYinan Xu 6739aca92b9SYinan Xu // rob to mem block 6749aca92b9SYinan Xu io.robio.lsq <> rob.io.lsq 675edd6ddbcSwakafa 6768744445eSMaxpicca-Li rob.io.debug_ls := io.robio.debug_ls 677d2b20d1aSTang Haojin rob.io.debugHeadLsIssue := io.robHeadLsIssue 678d2b20d1aSTang Haojin rob.io.lsTopdownInfo := io.robio.lsTopdownInfo 679d2b20d1aSTang Haojin io.robDeqPtr := rob.io.robDeqPtr 6808744445eSMaxpicca-Li 6819aca92b9SYinan Xu io.perfInfo.ctrlInfo.robFull := RegNext(rob.io.robFull) 6822b4e8253SYinan Xu io.perfInfo.ctrlInfo.intdqFull := RegNext(intDq.io.dqFull) 6832b4e8253SYinan Xu io.perfInfo.ctrlInfo.fpdqFull := RegNext(fpDq.io.dqFull) 6842b4e8253SYinan Xu io.perfInfo.ctrlInfo.lsdqFull := RegNext(lsDq.io.dqFull) 685cd365d4cSrvcoresjw 686cd365d4cSrvcoresjw val pfevent = Module(new PFEvent) 6871ca0e4f3SYinan Xu pfevent.io.distribute_csr := RegNext(io.csrCtrl.distribute_csr) 688cd365d4cSrvcoresjw val csrevents = pfevent.io.hpmevent.slice(8,16) 6891ca0e4f3SYinan Xu 690cd365d4cSrvcoresjw val perfinfo = IO(new Bundle(){ 6911ca0e4f3SYinan Xu val perfEventsRs = Input(Vec(NumRs, new PerfEvent)) 6921ca0e4f3SYinan Xu val perfEventsEu0 = Input(Vec(6, new PerfEvent)) 6931ca0e4f3SYinan Xu val perfEventsEu1 = Input(Vec(6, new PerfEvent)) 694cd365d4cSrvcoresjw }) 695cd365d4cSrvcoresjw 6961ca0e4f3SYinan Xu val allPerfEvents = Seq(decode, rename, dispatch, intDq, fpDq, lsDq, rob).flatMap(_.getPerf) 6971ca0e4f3SYinan Xu val hpmEvents = allPerfEvents ++ perfinfo.perfEventsEu0 ++ perfinfo.perfEventsEu1 ++ perfinfo.perfEventsRs 6981ca0e4f3SYinan Xu val perfEvents = HPerfMonitor(csrevents, hpmEvents).getPerfEvents 6991ca0e4f3SYinan Xu generatePerfEvent() 7008921b337SYinan Xu} 701