18921b337SYinan Xupackage xiangshan.backend 28921b337SYinan Xu 38921b337SYinan Xuimport chisel3._ 48921b337SYinan Xuimport chisel3.util._ 521732575SYinan Xuimport utils._ 68921b337SYinan Xuimport xiangshan._ 7b424051cSYinan Xuimport xiangshan.backend.decode.DecodeStage 88926ac22SLinJiaweiimport xiangshan.backend.rename.{BusyTable, Rename} 98926ac22SLinJiaweiimport xiangshan.backend.brq.{Brq, BrqPcRead} 108921b337SYinan Xuimport xiangshan.backend.dispatch.Dispatch 118921b337SYinan Xuimport xiangshan.backend.exu._ 12694b0180SLinJiaweiimport xiangshan.backend.exu.Exu.exuConfigs 138921b337SYinan Xuimport xiangshan.backend.regfile.RfReadPort 148926ac22SLinJiaweiimport xiangshan.backend.roq.{Roq, RoqCSRIO, RoqPtr} 15780ade3fSYinan Xuimport xiangshan.mem.LsqEnqIO 168921b337SYinan Xu 178921b337SYinan Xuclass CtrlToIntBlockIO extends XSBundle { 188921b337SYinan Xu val enqIqCtrl = Vec(exuParameters.IntExuCnt, DecoupledIO(new MicroOp)) 198af95560SYinan Xu val readRf = Vec(NRIntReadPorts, Output(UInt(PhyRegIdxWidth.W))) 208926ac22SLinJiawei val jumpPc = Output(UInt(VAddrBits.W)) 2182f87dffSYikeZhou // int block only uses port 0~7 2282f87dffSYikeZhou val readPortIndex = Vec(exuParameters.IntExuCnt, Output(UInt(log2Ceil(8 / 2).W))) // TODO parameterize 8 here 2366bcc42fSYinan Xu val redirect = ValidIO(new Redirect) 248921b337SYinan Xu} 258921b337SYinan Xu 268921b337SYinan Xuclass CtrlToFpBlockIO extends XSBundle { 278921b337SYinan Xu val enqIqCtrl = Vec(exuParameters.FpExuCnt, DecoupledIO(new MicroOp)) 288af95560SYinan Xu val readRf = Vec(NRFpReadPorts, Output(UInt(PhyRegIdxWidth.W))) 2982f87dffSYikeZhou // fp block uses port 0~11 3082f87dffSYikeZhou val readPortIndex = Vec(exuParameters.FpExuCnt, Output(UInt(log2Ceil((NRFpReadPorts - exuParameters.StuCnt) / 3).W))) 3166bcc42fSYinan Xu val redirect = ValidIO(new Redirect) 328921b337SYinan Xu} 338921b337SYinan Xu 348921b337SYinan Xuclass CtrlToLsBlockIO extends XSBundle { 358921b337SYinan Xu val enqIqCtrl = Vec(exuParameters.LsExuCnt, DecoupledIO(new MicroOp)) 36780ade3fSYinan Xu val enqLsq = Flipped(new LsqEnqIO) 3766bcc42fSYinan Xu val redirect = ValidIO(new Redirect) 388921b337SYinan Xu} 398921b337SYinan Xu 4021732575SYinan Xuclass CtrlBlock extends XSModule with HasCircularQueuePtrHelper { 418921b337SYinan Xu val io = IO(new Bundle { 428921b337SYinan Xu val frontend = Flipped(new FrontendToBackendIO) 438921b337SYinan Xu val fromIntBlock = Flipped(new IntBlockToCtrlIO) 448921b337SYinan Xu val fromFpBlock = Flipped(new FpBlockToCtrlIO) 458921b337SYinan Xu val fromLsBlock = Flipped(new LsBlockToCtrlIO) 468921b337SYinan Xu val toIntBlock = new CtrlToIntBlockIO 478921b337SYinan Xu val toFpBlock = new CtrlToFpBlockIO 488921b337SYinan Xu val toLsBlock = new CtrlToLsBlockIO 491c2588aaSYinan Xu val roqio = new Bundle { 501c2588aaSYinan Xu // to int block 511c2588aaSYinan Xu val toCSR = new RoqCSRIO 521c2588aaSYinan Xu val exception = ValidIO(new MicroOp) 531c2588aaSYinan Xu val isInterrupt = Output(Bool()) 541c2588aaSYinan Xu // to mem block 5521e7a6c5SYinan Xu val commits = new RoqCommitIO 561c2588aaSYinan Xu val roqDeqPtr = Output(new RoqPtr) 571c2588aaSYinan Xu } 588921b337SYinan Xu }) 598921b337SYinan Xu 60a165bd69Swangkaifan val difftestIO = IO(new Bundle() { 61a165bd69Swangkaifan val fromRoq = new Bundle() { 62a165bd69Swangkaifan val commit = Output(UInt(32.W)) 63a165bd69Swangkaifan val thisPC = Output(UInt(XLEN.W)) 64a165bd69Swangkaifan val thisINST = Output(UInt(32.W)) 65a165bd69Swangkaifan val skip = Output(UInt(32.W)) 66a165bd69Swangkaifan val wen = Output(UInt(32.W)) 67a165bd69Swangkaifan val wdata = Output(Vec(CommitWidth, UInt(XLEN.W))) // set difftest width to 6 68a165bd69Swangkaifan val wdst = Output(Vec(CommitWidth, UInt(32.W))) // set difftest width to 6 69a165bd69Swangkaifan val wpc = Output(Vec(CommitWidth, UInt(XLEN.W))) // set difftest width to 6 70a165bd69Swangkaifan val isRVC = Output(UInt(32.W)) 71a165bd69Swangkaifan val scFailed = Output(Bool()) 72*07635e87Swangkaifan val lpaddr = Output(Vec(CommitWidth, UInt(64.W))) 73*07635e87Swangkaifan val ltype = Output(Vec(CommitWidth, UInt(32.W))) 74*07635e87Swangkaifan val lfu = Output(Vec(CommitWidth, UInt(4.W))) 75a165bd69Swangkaifan } 76a165bd69Swangkaifan }) 77a165bd69Swangkaifan difftestIO <> DontCare 78a165bd69Swangkaifan 798921b337SYinan Xu val decode = Module(new DecodeStage) 808921b337SYinan Xu val brq = Module(new Brq) 818921b337SYinan Xu val rename = Module(new Rename) 82694b0180SLinJiawei val dispatch = Module(new Dispatch) 833fae98acSYinan Xu val intBusyTable = Module(new BusyTable(NRIntReadPorts, NRIntWritePorts)) 843fae98acSYinan Xu val fpBusyTable = Module(new BusyTable(NRFpReadPorts, NRFpWritePorts)) 858921b337SYinan Xu 860412e00dSLinJiawei val roqWbSize = NRIntWritePorts + NRFpWritePorts + exuParameters.StuCnt + 1 87694b0180SLinJiawei 88694b0180SLinJiawei val roq = Module(new Roq(roqWbSize)) 898921b337SYinan Xu 9067cc1812SYinan Xu // When replay and mis-prediction have the same roqIdx, 9167cc1812SYinan Xu // mis-prediction should have higher priority, since mis-prediction flushes the load instruction. 9267cc1812SYinan Xu // Thus, only when mis-prediction roqIdx is after replay roqIdx, replay should be valid. 93af2ca063SYinan Xu val brqIsAfterLsq = isAfter(brq.io.redirectOut.bits.roqIdx, io.fromLsBlock.replay.bits.roqIdx) 94af2ca063SYinan Xu val redirectArb = Mux(io.fromLsBlock.replay.valid && (!brq.io.redirectOut.valid || brqIsAfterLsq), 95af2ca063SYinan Xu io.fromLsBlock.replay.bits, brq.io.redirectOut.bits) 96af2ca063SYinan Xu val redirectValid = roq.io.redirectOut.valid || brq.io.redirectOut.valid || io.fromLsBlock.replay.valid 97edf53867SYinan Xu val redirect = Mux(roq.io.redirectOut.valid, roq.io.redirectOut.bits, redirectArb) 988921b337SYinan Xu 99819e6a63SYinan Xu io.frontend.redirect.valid := RegNext(redirectValid) 100edf53867SYinan Xu io.frontend.redirect.bits := RegNext(Mux(roq.io.redirectOut.valid, roq.io.redirectOut.bits.target, redirectArb.target)) 10143ad9482SLingrui98 io.frontend.cfiUpdateInfo <> brq.io.cfiInfo 10266bcc42fSYinan Xu 1038921b337SYinan Xu decode.io.in <> io.frontend.cfVec 104ec6b09ffSYinan Xu decode.io.enqBrq <> brq.io.enq 1058921b337SYinan Xu 106af2ca063SYinan Xu brq.io.redirect.valid <> redirectValid 107af2ca063SYinan Xu brq.io.redirect.bits <> redirect 1080412e00dSLinJiawei brq.io.bcommit <> roq.io.bcommit 109af2ca063SYinan Xu brq.io.exuRedirectWb <> io.fromIntBlock.exuRedirect 1108926ac22SLinJiawei brq.io.pcReadReq.brqIdx := dispatch.io.enqIQCtrl(0).bits.brTag // jump 1118926ac22SLinJiawei io.toIntBlock.jumpPc := brq.io.pcReadReq.pc 1120412e00dSLinJiawei 113b424051cSYinan Xu // pipeline between decode and dispatch 114819e6a63SYinan Xu val lastCycleRedirect = RegNext(redirectValid) 115b424051cSYinan Xu for (i <- 0 until RenameWidth) { 116819e6a63SYinan Xu PipelineConnect(decode.io.out(i), rename.io.in(i), rename.io.in(i).ready, redirectValid || lastCycleRedirect) 117b424051cSYinan Xu } 1188921b337SYinan Xu 11921732575SYinan Xu rename.io.redirect.valid <> redirectValid 12021732575SYinan Xu rename.io.redirect.bits <> redirect 1218921b337SYinan Xu rename.io.roqCommits <> roq.io.commits 1228921b337SYinan Xu rename.io.out <> dispatch.io.fromRename 12399b8dc2cSYinan Xu rename.io.renameBypass <> dispatch.io.renameBypass 1248921b337SYinan Xu 12521732575SYinan Xu dispatch.io.redirect.valid <> redirectValid 12621732575SYinan Xu dispatch.io.redirect.bits <> redirect 12721b47d38SYinan Xu dispatch.io.enqRoq <> roq.io.enq 12808fafef0SYinan Xu dispatch.io.enqLsq <> io.toLsBlock.enqLsq 1292bb6eba1SYinan Xu dispatch.io.readIntRf <> io.toIntBlock.readRf 1302bb6eba1SYinan Xu dispatch.io.readFpRf <> io.toFpBlock.readRf 1313fae98acSYinan Xu dispatch.io.allocPregs.zipWithIndex.foreach { case (preg, i) => 1323fae98acSYinan Xu intBusyTable.io.allocPregs(i).valid := preg.isInt 1331c931a03SYinan Xu fpBusyTable.io.allocPregs(i).valid := preg.isFp 1343fae98acSYinan Xu intBusyTable.io.allocPregs(i).bits := preg.preg 1353fae98acSYinan Xu fpBusyTable.io.allocPregs(i).bits := preg.preg 1363fae98acSYinan Xu } 1378921b337SYinan Xu dispatch.io.numExist <> io.fromIntBlock.numExist ++ io.fromFpBlock.numExist ++ io.fromLsBlock.numExist 1382bb6eba1SYinan Xu dispatch.io.enqIQCtrl <> io.toIntBlock.enqIqCtrl ++ io.toFpBlock.enqIqCtrl ++ io.toLsBlock.enqIqCtrl 13976e1d2a4SYikeZhou// dispatch.io.enqIQData <> io.toIntBlock.enqIqData ++ io.toFpBlock.enqIqData ++ io.toLsBlock.enqIqData 1408921b337SYinan Xu 1410412e00dSLinJiawei 142bfb958a3SYinan Xu val flush = redirectValid && RedirectLevel.isUnconditional(redirect.level) 1433fae98acSYinan Xu fpBusyTable.io.flush := flush 1443fae98acSYinan Xu intBusyTable.io.flush := flush 1453fae98acSYinan Xu for((wb, setPhyRegRdy) <- io.fromIntBlock.wbRegs.zip(intBusyTable.io.wbPregs)){ 1461e2ad30cSYinan Xu setPhyRegRdy.valid := wb.valid && wb.bits.uop.ctrl.rfWen 1473fae98acSYinan Xu setPhyRegRdy.bits := wb.bits.uop.pdest 1483fae98acSYinan Xu } 1493fae98acSYinan Xu for((wb, setPhyRegRdy) <- io.fromFpBlock.wbRegs.zip(fpBusyTable.io.wbPregs)){ 1503fae98acSYinan Xu setPhyRegRdy.valid := wb.valid && wb.bits.uop.ctrl.fpWen 1513fae98acSYinan Xu setPhyRegRdy.bits := wb.bits.uop.pdest 1523fae98acSYinan Xu } 1538af95560SYinan Xu intBusyTable.io.read <> dispatch.io.readIntState 1548af95560SYinan Xu fpBusyTable.io.read <> dispatch.io.readFpState 1553fae98acSYinan Xu 156af2ca063SYinan Xu roq.io.redirect.valid := brq.io.redirectOut.valid || io.fromLsBlock.replay.valid 157edf53867SYinan Xu roq.io.redirect.bits <> redirectArb 1580412e00dSLinJiawei roq.io.exeWbResults.take(roqWbSize-1).zip( 1590412e00dSLinJiawei io.fromIntBlock.wbRegs ++ io.fromFpBlock.wbRegs ++ io.fromLsBlock.stOut 1600412e00dSLinJiawei ).foreach{ 1610412e00dSLinJiawei case(x, y) => 1620412e00dSLinJiawei x.bits := y.bits 1630412e00dSLinJiawei x.valid := y.valid && !y.bits.redirectValid 1640412e00dSLinJiawei } 1650412e00dSLinJiawei roq.io.exeWbResults.last := brq.io.out 1660412e00dSLinJiawei 167a165bd69Swangkaifan if (env.DualCoreDifftest) { 168a165bd69Swangkaifan difftestIO.fromRoq <> roq.difftestIO 169a165bd69Swangkaifan } 170a165bd69Swangkaifan 17121732575SYinan Xu io.toIntBlock.redirect.valid := redirectValid 17221732575SYinan Xu io.toIntBlock.redirect.bits := redirect 17321732575SYinan Xu io.toFpBlock.redirect.valid := redirectValid 17421732575SYinan Xu io.toFpBlock.redirect.bits := redirect 17521732575SYinan Xu io.toLsBlock.redirect.valid := redirectValid 17621732575SYinan Xu io.toLsBlock.redirect.bits := redirect 1770412e00dSLinJiawei 1789916fbd7SYikeZhou dispatch.io.readPortIndex.intIndex <> io.toIntBlock.readPortIndex 1799916fbd7SYikeZhou dispatch.io.readPortIndex.fpIndex <> io.toFpBlock.readPortIndex 1809916fbd7SYikeZhou 1811c2588aaSYinan Xu // roq to int block 1821c2588aaSYinan Xu io.roqio.toCSR <> roq.io.csr 183edf53867SYinan Xu io.roqio.exception.valid := roq.io.redirectOut.valid && roq.io.redirectOut.bits.isException() 1841c2588aaSYinan Xu io.roqio.exception.bits := roq.io.exception 185edf53867SYinan Xu io.roqio.isInterrupt := roq.io.redirectOut.bits.interrupt 1861c2588aaSYinan Xu // roq to mem block 1871c2588aaSYinan Xu io.roqio.roqDeqPtr := roq.io.roqDeqPtr 1881c2588aaSYinan Xu io.roqio.commits := roq.io.commits 1898921b337SYinan Xu} 190