xref: /XiangShan/src/main/scala/top/XSNoCTop.scala (revision c33deca9ac63869fa9a28ad5641cd23f024d5af6)
1720dd621STang Haojin/***************************************************************************************
2720dd621STang Haojin* Copyright (c) 2024 Beijing Institute of Open Source Chip (BOSC)
3720dd621STang Haojin* Copyright (c) 2024 Institute of Computing Technology, Chinese Academy of Sciences
4720dd621STang Haojin*
5720dd621STang Haojin* XiangShan is licensed under Mulan PSL v2.
6720dd621STang Haojin* You can use this software according to the terms and conditions of the Mulan PSL v2.
7720dd621STang Haojin* You may obtain a copy of Mulan PSL v2 at:
8720dd621STang Haojin*          http://license.coscl.org.cn/MulanPSL2
9720dd621STang Haojin*
10720dd621STang Haojin* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11720dd621STang Haojin* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12720dd621STang Haojin* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13720dd621STang Haojin*
14720dd621STang Haojin* See the Mulan PSL v2 for more details.
15720dd621STang Haojin***************************************************************************************/
16720dd621STang Haojin
17720dd621STang Haojinpackage top
18720dd621STang Haojin
19720dd621STang Haojinimport chisel3._
20720dd621STang Haojinimport chisel3.util._
21720dd621STang Haojinimport xiangshan._
22720dd621STang Haojinimport utils._
23720dd621STang Haojinimport utility._
24720dd621STang Haojinimport system._
25720dd621STang Haojinimport device._
26720dd621STang Haojinimport org.chipsalliance.cde.config._
27720dd621STang Haojinimport freechips.rocketchip.amba.axi4._
28720dd621STang Haojinimport freechips.rocketchip.diplomacy._
29720dd621STang Haojinimport freechips.rocketchip.interrupts._
30720dd621STang Haojinimport freechips.rocketchip.tilelink._
318537b88aSTang Haojinimport coupledL2.tl2chi.{PortIO, CHIAsyncBridgeSink}
32720dd621STang Haojinimport freechips.rocketchip.tile.MaxHartIdBits
338537b88aSTang Haojinimport freechips.rocketchip.util.{AsyncQueueSource, AsyncQueueParams}
348e93c8f6STang Haojinimport chisel3.experimental.{annotate, ChiselAnnotation}
358e93c8f6STang Haojinimport sifive.enterprise.firrtl.NestedPrefixModulesAnnotation
36720dd621STang Haojin
37*c33deca9Sklin02import difftest.common.DifftestWiring
38*c33deca9Sklin02import difftest.util.Profile
39*c33deca9Sklin02
40720dd621STang Haojinclass XSNoCTop()(implicit p: Parameters) extends BaseXSSoc with HasSoCParameter
41720dd621STang Haojin{
42720dd621STang Haojin  override lazy val desiredName: String = "XSTop"
43720dd621STang Haojin
44720dd621STang Haojin  ResourceBinding {
45720dd621STang Haojin    val width = ResourceInt(2)
46720dd621STang Haojin    val model = "freechips,rocketchip-unknown"
47720dd621STang Haojin    Resource(ResourceAnchors.root, "model").bind(ResourceString(model))
48720dd621STang Haojin    Resource(ResourceAnchors.root, "compat").bind(ResourceString(model + "-dev"))
49720dd621STang Haojin    Resource(ResourceAnchors.soc, "compat").bind(ResourceString(model + "-soc"))
50720dd621STang Haojin    Resource(ResourceAnchors.root, "width").bind(width)
51720dd621STang Haojin    Resource(ResourceAnchors.soc, "width").bind(width)
52720dd621STang Haojin    Resource(ResourceAnchors.cpus, "width").bind(ResourceInt(1))
53720dd621STang Haojin    def bindManagers(xbar: TLNexusNode) = {
54720dd621STang Haojin      ManagerUnification(xbar.edges.in.head.manager.managers).foreach{ manager =>
55720dd621STang Haojin        manager.resources.foreach(r => r.bind(manager.toResource))
56720dd621STang Haojin      }
57720dd621STang Haojin    }
58720dd621STang Haojin  }
59720dd621STang Haojin
60e2725c9eSzhanglinjuan  require(enableCHI)
61e2725c9eSzhanglinjuan
62720dd621STang Haojin  // xstile
638537b88aSTang Haojin  val core_with_l2 = LazyModule(new XSTileWrap()(p.alter((site, here, up) => {
64720dd621STang Haojin    case XSCoreParamsKey => tiles.head
65bb2f3f51STang Haojin    case PerfCounterOptionsKey => up(PerfCounterOptionsKey).copy(perfDBHartID = tiles.head.HartId)
66720dd621STang Haojin  })))
67720dd621STang Haojin
68720dd621STang Haojin  // imsic bus top
699143e232SJiuyue Ma  val u_imsic_bus_top = LazyModule(new imsic_bus_top(
709143e232SJiuyue Ma    useTL = soc.IMSICUseTL,
719143e232SJiuyue Ma    baseAddress = (0x3A800000, 0x3B000000)
729143e232SJiuyue Ma  ))
73720dd621STang Haojin
74720dd621STang Haojin  // interrupts
75720dd621STang Haojin  val clintIntNode = IntSourceNode(IntSourcePortSimple(1, 1, 2))
76720dd621STang Haojin  val debugIntNode = IntSourceNode(IntSourcePortSimple(1, 1, 1))
77720dd621STang Haojin  val plicIntNode = IntSourceNode(IntSourcePortSimple(1, 2, 1))
788bc90631SZehao Liu  val nmiIntNode = IntSourceNode(IntSourcePortSimple(1, 1, (new NonmaskableInterruptIO).elements.size))
79720dd621STang Haojin  val beuIntNode = IntSinkNode(IntSinkPortSimple(1, 1))
808537b88aSTang Haojin  core_with_l2.clintIntNode := clintIntNode
818537b88aSTang Haojin  core_with_l2.debugIntNode := debugIntNode
828537b88aSTang Haojin  core_with_l2.plicIntNode :*= plicIntNode
838bc90631SZehao Liu  core_with_l2.nmiIntNode := nmiIntNode
847ff4ebdcSTang Haojin  beuIntNode := core_with_l2.beuIntNode
85720dd621STang Haojin  val clint = InModuleBody(clintIntNode.makeIOs())
86720dd621STang Haojin  val debug = InModuleBody(debugIntNode.makeIOs())
87720dd621STang Haojin  val plic = InModuleBody(plicIntNode.makeIOs())
888bc90631SZehao Liu  val nmi = InModuleBody(nmiIntNode.makeIOs())
89720dd621STang Haojin  val beu = InModuleBody(beuIntNode.makeIOs())
90720dd621STang Haojin
91720dd621STang Haojin  // reset nodes
92720dd621STang Haojin  val core_rst_node = BundleBridgeSource(() => Reset())
938537b88aSTang Haojin  core_with_l2.tile.core_reset_sink := core_rst_node
94720dd621STang Haojin
95720dd621STang Haojin  class XSNoCTopImp(wrapper: XSNoCTop) extends LazyRawModuleImp(wrapper) {
968e93c8f6STang Haojin    soc.XSTopPrefix.foreach { prefix =>
978e93c8f6STang Haojin      val mod = this.toNamed
988e93c8f6STang Haojin      annotate(new ChiselAnnotation {
998e93c8f6STang Haojin        def toFirrtl = NestedPrefixModulesAnnotation(mod, prefix, true)
1008e93c8f6STang Haojin      })
1018e93c8f6STang Haojin    }
102720dd621STang Haojin    FileRegisters.add("dts", dts)
103720dd621STang Haojin    FileRegisters.add("graphml", graphML)
104720dd621STang Haojin    FileRegisters.add("json", json)
105720dd621STang Haojin    FileRegisters.add("plusArgs", freechips.rocketchip.util.PlusArgArtefacts.serialize_cHeader())
106720dd621STang Haojin
107720dd621STang Haojin    val clock = IO(Input(Clock()))
108720dd621STang Haojin    val reset = IO(Input(AsyncReset()))
10969652e6eSTang Haojin    val noc_clock = EnableCHIAsyncBridge.map(_ => IO(Input(Clock())))
11069652e6eSTang Haojin    val noc_reset = EnableCHIAsyncBridge.map(_ => IO(Input(AsyncReset())))
1118537b88aSTang Haojin    val soc_clock = IO(Input(Clock()))
1128537b88aSTang Haojin    val soc_reset = IO(Input(AsyncReset()))
113720dd621STang Haojin    val io = IO(new Bundle {
114720dd621STang Haojin      val hartId = Input(UInt(p(MaxHartIdBits).W))
115720dd621STang Haojin      val riscv_halt = Output(Bool())
11685a8d7caSZehao Liu      val riscv_critical_error = Output(Bool())
1173a3744e4Schengguanghui      val hartResetReq = Input(Bool())
118b30cb8bfSGuanghui Cheng      val hartIsInReset = Output(Bool())
1190700cab2STang Haojin      val riscv_rst_vec = Input(UInt(soc.PAddrBits.W))
120720dd621STang Haojin      val chi = new PortIO
1218537b88aSTang Haojin      val nodeID = Input(UInt(soc.NodeIDWidthList(issue).W))
1226cb0b9a3SsinceforYy      val clintTime = Input(ValidIO(UInt(64.W)))
123725e8ddcSchengguanghui      val traceCoreInterface = new Bundle {
124725e8ddcSchengguanghui        val fromEncoder = Input(new Bundle {
125725e8ddcSchengguanghui          val enable = Bool()
126725e8ddcSchengguanghui          val stall  = Bool()
127725e8ddcSchengguanghui        })
128725e8ddcSchengguanghui        val toEncoder   = Output(new Bundle {
129725e8ddcSchengguanghui          val cause     = UInt(TraceCauseWidth.W)
130725e8ddcSchengguanghui          val tval      = UInt(TraceTvalWidth.W)
131725e8ddcSchengguanghui          val priv      = UInt(TracePrivWidth.W)
132725e8ddcSchengguanghui          val iaddr     = UInt((TraceTraceGroupNum * TraceIaddrWidth).W)
133725e8ddcSchengguanghui          val itype     = UInt((TraceTraceGroupNum * TraceItypeWidth).W)
134725e8ddcSchengguanghui          val iretire   = UInt((TraceTraceGroupNum * TraceIretireWidthCompressed).W)
135725e8ddcSchengguanghui          val ilastsize = UInt((TraceTraceGroupNum * TraceIlastsizeWidth).W)
136725e8ddcSchengguanghui        })
137725e8ddcSchengguanghui      }
138720dd621STang Haojin    })
139720dd621STang Haojin    // imsic axi4lite io
1409143e232SJiuyue Ma    val imsic_axi4lite = wrapper.u_imsic_bus_top.module.axi4lite.map(x => IO(chiselTypeOf(x)))
141720dd621STang Haojin    // imsic tl io
142720dd621STang Haojin    val imsic_m_tl = wrapper.u_imsic_bus_top.tl_m.map(x => IO(chiselTypeOf(x.getWrappedValue)))
143720dd621STang Haojin    val imsic_s_tl = wrapper.u_imsic_bus_top.tl_s.map(x => IO(chiselTypeOf(x.getWrappedValue)))
144720dd621STang Haojin
14503459344STang Haojin    val noc_reset_sync = EnableCHIAsyncBridge.map(_ => withClockAndReset(noc_clock, noc_reset) { ResetGen() })
1468537b88aSTang Haojin    val soc_reset_sync = withClockAndReset(soc_clock, soc_reset) { ResetGen() }
147720dd621STang Haojin
1488537b88aSTang Haojin    // device clock and reset
1498537b88aSTang Haojin    wrapper.u_imsic_bus_top.module.clock := soc_clock
1508537b88aSTang Haojin    wrapper.u_imsic_bus_top.module.reset := soc_reset_sync
151720dd621STang Haojin
152720dd621STang Haojin    // imsic axi4lite io connection
1539143e232SJiuyue Ma    wrapper.u_imsic_bus_top.module.axi4lite.foreach(_ <> imsic_axi4lite.get)
154720dd621STang Haojin
155720dd621STang Haojin    // imsic tl io connection
156720dd621STang Haojin    wrapper.u_imsic_bus_top.tl_m.foreach(_ <> imsic_m_tl.get)
157720dd621STang Haojin    wrapper.u_imsic_bus_top.tl_s.foreach(_ <> imsic_s_tl.get)
158720dd621STang Haojin
159720dd621STang Haojin    // input
160720dd621STang Haojin    dontTouch(io)
161720dd621STang Haojin
1627ff4ebdcSTang Haojin    core_with_l2.module.clock := clock
1637ff4ebdcSTang Haojin    core_with_l2.module.reset := reset
1647ff4ebdcSTang Haojin    core_with_l2.module.noc_reset.foreach(_ := noc_reset.get)
1657ff4ebdcSTang Haojin    core_with_l2.module.soc_reset := soc_reset
166720dd621STang Haojin    core_with_l2.module.io.hartId := io.hartId
167720dd621STang Haojin    core_with_l2.module.io.nodeID.get := io.nodeID
168720dd621STang Haojin    io.riscv_halt := core_with_l2.module.io.cpu_halt
16985a8d7caSZehao Liu    io.riscv_critical_error := core_with_l2.module.io.cpu_crtical_error
1703a3744e4Schengguanghui    core_with_l2.module.io.hartResetReq := io.hartResetReq
171b30cb8bfSGuanghui Cheng    io.hartIsInReset := core_with_l2.module.io.hartIsInReset
172720dd621STang Haojin    core_with_l2.module.io.reset_vector := io.riscv_rst_vec
1733ad9f3ddSchengguanghui    // trace Interface
1743ad9f3ddSchengguanghui    val traceInterface = core_with_l2.module.io.traceCoreInterface
1753ad9f3ddSchengguanghui    traceInterface.fromEncoder := io.traceCoreInterface.fromEncoder
1763ad9f3ddSchengguanghui    io.traceCoreInterface.toEncoder.priv := traceInterface.toEncoder.priv
1773ad9f3ddSchengguanghui    io.traceCoreInterface.toEncoder.cause := traceInterface.toEncoder.trap.cause
1783ad9f3ddSchengguanghui    io.traceCoreInterface.toEncoder.tval := traceInterface.toEncoder.trap.tval
1793ad9f3ddSchengguanghui    io.traceCoreInterface.toEncoder.iaddr := VecInit(traceInterface.toEncoder.groups.map(_.bits.iaddr)).asUInt
1803ad9f3ddSchengguanghui    io.traceCoreInterface.toEncoder.itype := VecInit(traceInterface.toEncoder.groups.map(_.bits.itype)).asUInt
1813ad9f3ddSchengguanghui    io.traceCoreInterface.toEncoder.iretire := VecInit(traceInterface.toEncoder.groups.map(_.bits.iretire)).asUInt
1823ad9f3ddSchengguanghui    io.traceCoreInterface.toEncoder.ilastsize := VecInit(traceInterface.toEncoder.groups.map(_.bits.ilastsize)).asUInt
1832f9ea954STang Haojin
184e2725c9eSzhanglinjuan    EnableClintAsyncBridge match {
185e2725c9eSzhanglinjuan      case Some(param) =>
1867ff4ebdcSTang Haojin        withClockAndReset(soc_clock, soc_reset_sync) {
1877ff4ebdcSTang Haojin          val source = Module(new AsyncQueueSource(UInt(64.W), param))
188e2725c9eSzhanglinjuan          source.io.enq.valid := io.clintTime.valid
189e2725c9eSzhanglinjuan          source.io.enq.bits := io.clintTime.bits
1907ff4ebdcSTang Haojin          core_with_l2.module.io.clintTime <> source.io.async
1917ff4ebdcSTang Haojin        }
192e2725c9eSzhanglinjuan      case None =>
1937ff4ebdcSTang Haojin        core_with_l2.module.io.clintTime <> io.clintTime
194e2725c9eSzhanglinjuan    }
195e2725c9eSzhanglinjuan
196e2725c9eSzhanglinjuan    EnableCHIAsyncBridge match {
197e2725c9eSzhanglinjuan      case Some(param) =>
1987ff4ebdcSTang Haojin        withClockAndReset(noc_clock.get, noc_reset_sync.get) {
1997ff4ebdcSTang Haojin          val sink = Module(new CHIAsyncBridgeSink(param))
2007ff4ebdcSTang Haojin          sink.io.async <> core_with_l2.module.io.chi
201e2725c9eSzhanglinjuan          io.chi <> sink.io.deq
2027ff4ebdcSTang Haojin        }
203e2725c9eSzhanglinjuan      case None =>
2047ff4ebdcSTang Haojin        io.chi <> core_with_l2.module.io.chi
205e2725c9eSzhanglinjuan    }
2066cb0b9a3SsinceforYy
2076cb0b9a3SsinceforYy    core_with_l2.module.io.msiInfo.valid := wrapper.u_imsic_bus_top.module.o_msi_info_vld
2086cb0b9a3SsinceforYy    core_with_l2.module.io.msiInfo.bits.info := wrapper.u_imsic_bus_top.module.o_msi_info
209720dd621STang Haojin    // tie off core soft reset
210720dd621STang Haojin    core_rst_node.out.head._1 := false.B.asAsyncReset
211720dd621STang Haojin
212720dd621STang Haojin    core_with_l2.module.io.debugTopDown.l3MissMatch := false.B
213e836c770SZhaoyang You    core_with_l2.module.io.l3Miss := false.B
214720dd621STang Haojin  }
215720dd621STang Haojin
216720dd621STang Haojin  lazy val module = new XSNoCTopImp(this)
217720dd621STang Haojin}
218*c33deca9Sklin02
219*c33deca9Sklin02class XSNoCDiffTop(implicit p: Parameters) extends Module {
220*c33deca9Sklin02  override val desiredName: String = "XSDiffTop"
221*c33deca9Sklin02  val l_soc = LazyModule(new XSNoCTop())
222*c33deca9Sklin02  val soc = Module(l_soc.module)
223*c33deca9Sklin02
224*c33deca9Sklin02  // Expose XSTop IOs outside, i.e. io
225*c33deca9Sklin02  def exposeIO(data: Data, name: String): Unit = {
226*c33deca9Sklin02    val dummy = IO(chiselTypeOf(data)).suggestName(name)
227*c33deca9Sklin02    dummy <> data
228*c33deca9Sklin02  }
229*c33deca9Sklin02  def exposeOptionIO(data: Option[Data], name: String): Unit = {
230*c33deca9Sklin02    if (data.isDefined) {
231*c33deca9Sklin02      val dummy = IO(chiselTypeOf(data.get)).suggestName(name)
232*c33deca9Sklin02      dummy <> data.get
233*c33deca9Sklin02    }
234*c33deca9Sklin02  }
235*c33deca9Sklin02  exposeIO(l_soc.clint, "clint")
236*c33deca9Sklin02  exposeIO(l_soc.debug, "debug")
237*c33deca9Sklin02  exposeIO(l_soc.plic, "plic")
238*c33deca9Sklin02  exposeIO(l_soc.beu, "beu")
239*c33deca9Sklin02  exposeIO(l_soc.nmi, "nmi")
240*c33deca9Sklin02  soc.clock := clock
241*c33deca9Sklin02  soc.reset := reset.asAsyncReset
242*c33deca9Sklin02  exposeIO(soc.soc_clock, "soc_clock")
243*c33deca9Sklin02  exposeIO(soc.soc_reset, "soc_reset")
244*c33deca9Sklin02  exposeIO(soc.io, "io")
245*c33deca9Sklin02  exposeOptionIO(soc.noc_clock, "noc_clock")
246*c33deca9Sklin02  exposeOptionIO(soc.noc_reset, "noc_reset")
247*c33deca9Sklin02  exposeOptionIO(soc.imsic_axi4lite, "imsic_axi4lite")
248*c33deca9Sklin02
249*c33deca9Sklin02  // TODO:
250*c33deca9Sklin02  // XSDiffTop is only part of DUT, we can not instantiate difftest here.
251*c33deca9Sklin02  // Temporarily we collect Performance counters for each DiffTop, need control signals passed from Difftest
252*c33deca9Sklin02  val timer = IO(Input(UInt(64.W)))
253*c33deca9Sklin02  val logEnable = IO(Input(Bool()))
254*c33deca9Sklin02  val clean = IO(Input(Bool()))
255*c33deca9Sklin02  val dump = IO(Input(Bool()))
256*c33deca9Sklin02  XSLog.collect(timer, logEnable, clean, dump)
257*c33deca9Sklin02  DifftestWiring.createAndConnectExtraIOs()
258*c33deca9Sklin02  Profile.generateJson("XiangShan")
259*c33deca9Sklin02  XSNoCDiffTopChecker()
260*c33deca9Sklin02}
261*c33deca9Sklin02
262*c33deca9Sklin02//TODO:
263*c33deca9Sklin02//Currently we use two-step XiangShan-Difftest, generating XS(with Diff Interface only) and Difftest seperately
264*c33deca9Sklin02//To avoid potential interface problem between XS and Diff, we add Checker and CI(dual-core)
265*c33deca9Sklin02//We will try one-step XS-Diff later
266*c33deca9Sklin02object XSNoCDiffTopChecker {
267*c33deca9Sklin02  def apply(): Unit = {
268*c33deca9Sklin02    val verilog =
269*c33deca9Sklin02      """
270*c33deca9Sklin02        |`define CONFIG_XSCORE_NR 2
271*c33deca9Sklin02        |`include "gateway_interface.svh"
272*c33deca9Sklin02        |module XSDiffTopChecker(
273*c33deca9Sklin02        | input                                 cpu_clk,
274*c33deca9Sklin02        | input                                 cpu_rstn,
275*c33deca9Sklin02        | input                                 sys_clk,
276*c33deca9Sklin02        | input                                 sys_rstn
277*c33deca9Sklin02        |);
278*c33deca9Sklin02        |wire [63:0] timer;
279*c33deca9Sklin02        |wire logEnable;
280*c33deca9Sklin02        |wire clean;
281*c33deca9Sklin02        |wire dump;
282*c33deca9Sklin02        |// FIXME: use siganls from Difftest rather than default value
283*c33deca9Sklin02        |assign timer = 64'b0;
284*c33deca9Sklin02        |assign logEnable = 1'b0;
285*c33deca9Sklin02        |assign clean = 1'b0;
286*c33deca9Sklin02        |assign dump = 1'b0;
287*c33deca9Sklin02        |gateway_if gateway_if_i();
288*c33deca9Sklin02        |core_if core_if_o[`CONFIG_XSCORE_NR]();
289*c33deca9Sklin02        |generate
290*c33deca9Sklin02        |    genvar i;
291*c33deca9Sklin02        |    for (i = 0; i < `CONFIG_XSCORE_NR; i = i+1)
292*c33deca9Sklin02        |    begin: u_CPU_TOP
293*c33deca9Sklin02        |    // FIXME: add missing ports
294*c33deca9Sklin02        |    XSDiffTop u_XSTop (
295*c33deca9Sklin02        |        .clock                   (cpu_clk),
296*c33deca9Sklin02        |        .noc_clock               (sys_clk),
297*c33deca9Sklin02        |        .soc_clock               (sys_clk),
298*c33deca9Sklin02        |        .io_hartId               (6'h0 + i),
299*c33deca9Sklin02        |        .timer                   (timer),
300*c33deca9Sklin02        |        .logEnable               (logEnable),
301*c33deca9Sklin02        |        .clean                   (clean),
302*c33deca9Sklin02        |        .dump                    (dump),
303*c33deca9Sklin02        |        .gateway_out             (core_if_o[i])
304*c33deca9Sklin02        |    );
305*c33deca9Sklin02        |    end
306*c33deca9Sklin02        |endgenerate
307*c33deca9Sklin02        |    CoreToGateway u_CoreToGateway(
308*c33deca9Sklin02        |    .gateway_out (gateway_if_i.out),
309*c33deca9Sklin02        |    .core_in (core_if_o)
310*c33deca9Sklin02        |    );
311*c33deca9Sklin02        |    GatewayEndpoint u_GatewayEndpoint(
312*c33deca9Sklin02        |    .clock (sys_clk),
313*c33deca9Sklin02        |    .reset (sys_rstn),
314*c33deca9Sklin02        |    .gateway_in (gateway_if_i.in),
315*c33deca9Sklin02        |    .step ()
316*c33deca9Sklin02        |    );
317*c33deca9Sklin02        |
318*c33deca9Sklin02        |endmodule
319*c33deca9Sklin02      """.stripMargin
320*c33deca9Sklin02    FileRegisters.writeOutputFile("./build", "XSDiffTopChecker.sv", verilog)
321*c33deca9Sklin02  }
322*c33deca9Sklin02}
323