1720dd621STang Haojin/*************************************************************************************** 2720dd621STang Haojin* Copyright (c) 2024 Beijing Institute of Open Source Chip (BOSC) 3720dd621STang Haojin* Copyright (c) 2024 Institute of Computing Technology, Chinese Academy of Sciences 4720dd621STang Haojin* 5720dd621STang Haojin* XiangShan is licensed under Mulan PSL v2. 6720dd621STang Haojin* You can use this software according to the terms and conditions of the Mulan PSL v2. 7720dd621STang Haojin* You may obtain a copy of Mulan PSL v2 at: 8720dd621STang Haojin* http://license.coscl.org.cn/MulanPSL2 9720dd621STang Haojin* 10720dd621STang Haojin* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11720dd621STang Haojin* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12720dd621STang Haojin* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13720dd621STang Haojin* 14720dd621STang Haojin* See the Mulan PSL v2 for more details. 15720dd621STang Haojin***************************************************************************************/ 16720dd621STang Haojin 17720dd621STang Haojinpackage top 18720dd621STang Haojin 19720dd621STang Haojinimport chisel3._ 20720dd621STang Haojinimport chisel3.util._ 21720dd621STang Haojinimport xiangshan._ 22720dd621STang Haojinimport utils._ 23720dd621STang Haojinimport utility._ 24720dd621STang Haojinimport system._ 25720dd621STang Haojinimport device._ 26720dd621STang Haojinimport org.chipsalliance.cde.config._ 27720dd621STang Haojinimport freechips.rocketchip.amba.axi4._ 28720dd621STang Haojinimport freechips.rocketchip.diplomacy._ 29720dd621STang Haojinimport freechips.rocketchip.interrupts._ 30720dd621STang Haojinimport freechips.rocketchip.tilelink._ 31720dd621STang Haojinimport coupledL2.tl2chi.PortIO 32720dd621STang Haojinimport freechips.rocketchip.tile.MaxHartIdBits 33720dd621STang Haojin 34720dd621STang Haojinclass XSNoCTop()(implicit p: Parameters) extends BaseXSSoc with HasSoCParameter 35720dd621STang Haojin{ 36720dd621STang Haojin override lazy val desiredName: String = "XSTop" 37720dd621STang Haojin 38720dd621STang Haojin ResourceBinding { 39720dd621STang Haojin val width = ResourceInt(2) 40720dd621STang Haojin val model = "freechips,rocketchip-unknown" 41720dd621STang Haojin Resource(ResourceAnchors.root, "model").bind(ResourceString(model)) 42720dd621STang Haojin Resource(ResourceAnchors.root, "compat").bind(ResourceString(model + "-dev")) 43720dd621STang Haojin Resource(ResourceAnchors.soc, "compat").bind(ResourceString(model + "-soc")) 44720dd621STang Haojin Resource(ResourceAnchors.root, "width").bind(width) 45720dd621STang Haojin Resource(ResourceAnchors.soc, "width").bind(width) 46720dd621STang Haojin Resource(ResourceAnchors.cpus, "width").bind(ResourceInt(1)) 47720dd621STang Haojin def bindManagers(xbar: TLNexusNode) = { 48720dd621STang Haojin ManagerUnification(xbar.edges.in.head.manager.managers).foreach{ manager => 49720dd621STang Haojin manager.resources.foreach(r => r.bind(manager.toResource)) 50720dd621STang Haojin } 51720dd621STang Haojin } 52720dd621STang Haojin } 53720dd621STang Haojin 54720dd621STang Haojin // xstile 55*bb2f3f51STang Haojin val core_with_l2 = LazyModule(new XSTile()(p.alter((site, here, up) => { 56720dd621STang Haojin case XSCoreParamsKey => tiles.head 57*bb2f3f51STang Haojin case PerfCounterOptionsKey => up(PerfCounterOptionsKey).copy(perfDBHartID = tiles.head.HartId) 58720dd621STang Haojin }))) 59720dd621STang Haojin 60720dd621STang Haojin // imsic bus top 61720dd621STang Haojin val u_imsic_bus_top = LazyModule(new imsic_bus_top(soc.IMSICUseTL)) 62720dd621STang Haojin 63720dd621STang Haojin // interrupts 64720dd621STang Haojin val clintIntNode = IntSourceNode(IntSourcePortSimple(1, 1, 2)) 65720dd621STang Haojin val debugIntNode = IntSourceNode(IntSourcePortSimple(1, 1, 1)) 66720dd621STang Haojin val plicIntNode = IntSourceNode(IntSourcePortSimple(1, 2, 1)) 67720dd621STang Haojin val beuIntNode = IntSinkNode(IntSinkPortSimple(1, 1)) 68720dd621STang Haojin core_with_l2.clint_int_node := IntBuffer() := clintIntNode 69720dd621STang Haojin core_with_l2.debug_int_node := IntBuffer() := debugIntNode 70720dd621STang Haojin core_with_l2.plic_int_node :*= IntBuffer() :*= plicIntNode 71720dd621STang Haojin beuIntNode := IntBuffer() := core_with_l2.beu_int_source 72720dd621STang Haojin val clint = InModuleBody(clintIntNode.makeIOs()) 73720dd621STang Haojin val debug = InModuleBody(debugIntNode.makeIOs()) 74720dd621STang Haojin val plic = InModuleBody(plicIntNode.makeIOs()) 75720dd621STang Haojin val beu = InModuleBody(beuIntNode.makeIOs()) 76720dd621STang Haojin 77720dd621STang Haojin // reset nodes 78720dd621STang Haojin val core_rst_node = BundleBridgeSource(() => Reset()) 79720dd621STang Haojin core_with_l2.core_reset_sink := core_rst_node 80720dd621STang Haojin 81720dd621STang Haojin class XSNoCTopImp(wrapper: XSNoCTop) extends LazyRawModuleImp(wrapper) { 82720dd621STang Haojin FileRegisters.add("dts", dts) 83720dd621STang Haojin FileRegisters.add("graphml", graphML) 84720dd621STang Haojin FileRegisters.add("json", json) 85720dd621STang Haojin FileRegisters.add("plusArgs", freechips.rocketchip.util.PlusArgArtefacts.serialize_cHeader()) 86720dd621STang Haojin 87720dd621STang Haojin val clock = IO(Input(Clock())) 88720dd621STang Haojin val reset = IO(Input(AsyncReset())) 89720dd621STang Haojin val bus_clock = IO(Input(Clock())) 90720dd621STang Haojin val bus_reset = IO(Input(AsyncReset())) 91720dd621STang Haojin val io = IO(new Bundle { 92720dd621STang Haojin val hartId = Input(UInt(p(MaxHartIdBits).W)) 93720dd621STang Haojin val riscv_halt = Output(Bool()) 94720dd621STang Haojin val riscv_rst_vec = Input(UInt(38.W)) 95720dd621STang Haojin val chi = new PortIO 96720dd621STang Haojin val nodeID = Input(UInt(p(SoCParamsKey).NodeIDWidth.W)) 97720dd621STang Haojin }) 98720dd621STang Haojin // imsic axi4lite io 99720dd621STang Haojin val imsic_m_s = wrapper.u_imsic_bus_top.module.m_s.map(x => IO(chiselTypeOf(x))) 100720dd621STang Haojin val imsic_s_s = wrapper.u_imsic_bus_top.module.s_s.map(x => IO(chiselTypeOf(x))) 101720dd621STang Haojin // imsic tl io 102720dd621STang Haojin val imsic_m_tl = wrapper.u_imsic_bus_top.tl_m.map(x => IO(chiselTypeOf(x.getWrappedValue))) 103720dd621STang Haojin val imsic_s_tl = wrapper.u_imsic_bus_top.tl_s.map(x => IO(chiselTypeOf(x.getWrappedValue))) 104720dd621STang Haojin 105720dd621STang Haojin val reset_sync = withClockAndReset(clock, reset) { ResetGen() } 106720dd621STang Haojin val bus_reset_sync = withClockAndReset(bus_clock, bus_reset) { ResetGen() } 107720dd621STang Haojin 108720dd621STang Haojin // override LazyRawModuleImp's clock and reset 109720dd621STang Haojin childClock := clock 110720dd621STang Haojin childReset := reset_sync 111720dd621STang Haojin 112720dd621STang Haojin // bus clock and reset 113720dd621STang Haojin wrapper.u_imsic_bus_top.module.clock := bus_clock 114720dd621STang Haojin wrapper.u_imsic_bus_top.module.reset := bus_reset_sync 115720dd621STang Haojin 116720dd621STang Haojin // imsic axi4lite io connection 117720dd621STang Haojin wrapper.u_imsic_bus_top.module.m_s.foreach(_ <> imsic_m_s.get) 118720dd621STang Haojin wrapper.u_imsic_bus_top.module.s_s.foreach(_ <> imsic_s_s.get) 119720dd621STang Haojin 120720dd621STang Haojin // imsic tl io connection 121720dd621STang Haojin wrapper.u_imsic_bus_top.tl_m.foreach(_ <> imsic_m_tl.get) 122720dd621STang Haojin wrapper.u_imsic_bus_top.tl_s.foreach(_ <> imsic_s_tl.get) 123720dd621STang Haojin 124720dd621STang Haojin // input 125720dd621STang Haojin dontTouch(io) 126720dd621STang Haojin 127720dd621STang Haojin core_with_l2.module.io.hartId := io.hartId 128720dd621STang Haojin core_with_l2.module.io.nodeID.get := io.nodeID 129720dd621STang Haojin core_with_l2.module.io.chi.get <> io.chi 130720dd621STang Haojin io.riscv_halt := core_with_l2.module.io.cpu_halt 131720dd621STang Haojin core_with_l2.module.io.reset_vector := io.riscv_rst_vec 132720dd621STang Haojin // tie off core soft reset 133720dd621STang Haojin core_rst_node.out.head._1 := false.B.asAsyncReset 134720dd621STang Haojin 135720dd621STang Haojin core_with_l2.module.io.debugTopDown.l3MissMatch := false.B 136720dd621STang Haojin 137720dd621STang Haojin withClockAndReset(clock, reset_sync) { 138720dd621STang Haojin // Modules are reset one by one 139720dd621STang Haojin // reset ----> SYNC --> Core 140720dd621STang Haojin val resetChain = Seq(Seq(core_with_l2.module)) 141720dd621STang Haojin ResetGen(resetChain, reset_sync, !debugOpts.FPGAPlatform) 142720dd621STang Haojin } 143720dd621STang Haojin 144720dd621STang Haojin } 145720dd621STang Haojin 146720dd621STang Haojin lazy val module = new XSNoCTopImp(this) 147720dd621STang Haojin} 148