xref: /XiangShan/src/main/scala/top/XSNoCTop.scala (revision 69652e6ed74d9f8f7b958f6bc393f8af79f3bae9)
1720dd621STang Haojin/***************************************************************************************
2720dd621STang Haojin* Copyright (c) 2024 Beijing Institute of Open Source Chip (BOSC)
3720dd621STang Haojin* Copyright (c) 2024 Institute of Computing Technology, Chinese Academy of Sciences
4720dd621STang Haojin*
5720dd621STang Haojin* XiangShan is licensed under Mulan PSL v2.
6720dd621STang Haojin* You can use this software according to the terms and conditions of the Mulan PSL v2.
7720dd621STang Haojin* You may obtain a copy of Mulan PSL v2 at:
8720dd621STang Haojin*          http://license.coscl.org.cn/MulanPSL2
9720dd621STang Haojin*
10720dd621STang Haojin* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11720dd621STang Haojin* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12720dd621STang Haojin* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13720dd621STang Haojin*
14720dd621STang Haojin* See the Mulan PSL v2 for more details.
15720dd621STang Haojin***************************************************************************************/
16720dd621STang Haojin
17720dd621STang Haojinpackage top
18720dd621STang Haojin
19720dd621STang Haojinimport chisel3._
20720dd621STang Haojinimport chisel3.util._
21720dd621STang Haojinimport xiangshan._
22720dd621STang Haojinimport utils._
23720dd621STang Haojinimport utility._
24720dd621STang Haojinimport system._
25720dd621STang Haojinimport device._
26720dd621STang Haojinimport org.chipsalliance.cde.config._
27720dd621STang Haojinimport freechips.rocketchip.amba.axi4._
28720dd621STang Haojinimport freechips.rocketchip.diplomacy._
29720dd621STang Haojinimport freechips.rocketchip.interrupts._
30720dd621STang Haojinimport freechips.rocketchip.tilelink._
318537b88aSTang Haojinimport coupledL2.tl2chi.{PortIO, CHIAsyncBridgeSink}
32720dd621STang Haojinimport freechips.rocketchip.tile.MaxHartIdBits
338537b88aSTang Haojinimport freechips.rocketchip.util.{AsyncQueueSource, AsyncQueueParams}
34720dd621STang Haojin
35720dd621STang Haojinclass XSNoCTop()(implicit p: Parameters) extends BaseXSSoc with HasSoCParameter
36720dd621STang Haojin{
37720dd621STang Haojin  override lazy val desiredName: String = "XSTop"
38720dd621STang Haojin
39720dd621STang Haojin  ResourceBinding {
40720dd621STang Haojin    val width = ResourceInt(2)
41720dd621STang Haojin    val model = "freechips,rocketchip-unknown"
42720dd621STang Haojin    Resource(ResourceAnchors.root, "model").bind(ResourceString(model))
43720dd621STang Haojin    Resource(ResourceAnchors.root, "compat").bind(ResourceString(model + "-dev"))
44720dd621STang Haojin    Resource(ResourceAnchors.soc, "compat").bind(ResourceString(model + "-soc"))
45720dd621STang Haojin    Resource(ResourceAnchors.root, "width").bind(width)
46720dd621STang Haojin    Resource(ResourceAnchors.soc, "width").bind(width)
47720dd621STang Haojin    Resource(ResourceAnchors.cpus, "width").bind(ResourceInt(1))
48720dd621STang Haojin    def bindManagers(xbar: TLNexusNode) = {
49720dd621STang Haojin      ManagerUnification(xbar.edges.in.head.manager.managers).foreach{ manager =>
50720dd621STang Haojin        manager.resources.foreach(r => r.bind(manager.toResource))
51720dd621STang Haojin      }
52720dd621STang Haojin    }
53720dd621STang Haojin  }
54720dd621STang Haojin
55e2725c9eSzhanglinjuan  require(enableCHI)
56e2725c9eSzhanglinjuan
57720dd621STang Haojin  // xstile
588537b88aSTang Haojin  val core_with_l2 = LazyModule(new XSTileWrap()(p.alter((site, here, up) => {
59720dd621STang Haojin    case XSCoreParamsKey => tiles.head
60bb2f3f51STang Haojin    case PerfCounterOptionsKey => up(PerfCounterOptionsKey).copy(perfDBHartID = tiles.head.HartId)
61720dd621STang Haojin  })))
62720dd621STang Haojin
63720dd621STang Haojin  // imsic bus top
64720dd621STang Haojin  val u_imsic_bus_top = LazyModule(new imsic_bus_top(soc.IMSICUseTL))
65720dd621STang Haojin
66720dd621STang Haojin  // interrupts
67720dd621STang Haojin  val clintIntNode = IntSourceNode(IntSourcePortSimple(1, 1, 2))
68720dd621STang Haojin  val debugIntNode = IntSourceNode(IntSourcePortSimple(1, 1, 1))
69720dd621STang Haojin  val plicIntNode = IntSourceNode(IntSourcePortSimple(1, 2, 1))
70720dd621STang Haojin  val beuIntNode = IntSinkNode(IntSinkPortSimple(1, 1))
718537b88aSTang Haojin  core_with_l2.clintIntNode := clintIntNode
728537b88aSTang Haojin  core_with_l2.debugIntNode := debugIntNode
738537b88aSTang Haojin  core_with_l2.plicIntNode :*= plicIntNode
748537b88aSTang Haojin  beuIntNode := IntBuffer(2) := core_with_l2.tile.beu_int_source
75720dd621STang Haojin  val clint = InModuleBody(clintIntNode.makeIOs())
76720dd621STang Haojin  val debug = InModuleBody(debugIntNode.makeIOs())
77720dd621STang Haojin  val plic = InModuleBody(plicIntNode.makeIOs())
78720dd621STang Haojin  val beu = InModuleBody(beuIntNode.makeIOs())
79720dd621STang Haojin
80720dd621STang Haojin  // reset nodes
81720dd621STang Haojin  val core_rst_node = BundleBridgeSource(() => Reset())
828537b88aSTang Haojin  core_with_l2.tile.core_reset_sink := core_rst_node
83720dd621STang Haojin
84720dd621STang Haojin  class XSNoCTopImp(wrapper: XSNoCTop) extends LazyRawModuleImp(wrapper) {
85720dd621STang Haojin    FileRegisters.add("dts", dts)
86720dd621STang Haojin    FileRegisters.add("graphml", graphML)
87720dd621STang Haojin    FileRegisters.add("json", json)
88720dd621STang Haojin    FileRegisters.add("plusArgs", freechips.rocketchip.util.PlusArgArtefacts.serialize_cHeader())
89720dd621STang Haojin
90720dd621STang Haojin    val clock = IO(Input(Clock()))
91720dd621STang Haojin    val reset = IO(Input(AsyncReset()))
92*69652e6eSTang Haojin    val noc_clock = EnableCHIAsyncBridge.map(_ => IO(Input(Clock())))
93*69652e6eSTang Haojin    val noc_reset = EnableCHIAsyncBridge.map(_ => IO(Input(AsyncReset())))
948537b88aSTang Haojin    val soc_clock = IO(Input(Clock()))
958537b88aSTang Haojin    val soc_reset = IO(Input(AsyncReset()))
96720dd621STang Haojin    val io = IO(new Bundle {
97720dd621STang Haojin      val hartId = Input(UInt(p(MaxHartIdBits).W))
98720dd621STang Haojin      val riscv_halt = Output(Bool())
990700cab2STang Haojin      val riscv_rst_vec = Input(UInt(soc.PAddrBits.W))
100720dd621STang Haojin      val chi = new PortIO
1018537b88aSTang Haojin      val nodeID = Input(UInt(soc.NodeIDWidthList(issue).W))
1026cb0b9a3SsinceforYy      val clintTime = Input(ValidIO(UInt(64.W)))
103720dd621STang Haojin    })
104720dd621STang Haojin    // imsic axi4lite io
105720dd621STang Haojin    val imsic_m_s = wrapper.u_imsic_bus_top.module.m_s.map(x => IO(chiselTypeOf(x)))
106720dd621STang Haojin    val imsic_s_s = wrapper.u_imsic_bus_top.module.s_s.map(x => IO(chiselTypeOf(x)))
107720dd621STang Haojin    // imsic tl io
108720dd621STang Haojin    val imsic_m_tl = wrapper.u_imsic_bus_top.tl_m.map(x => IO(chiselTypeOf(x.getWrappedValue)))
109720dd621STang Haojin    val imsic_s_tl = wrapper.u_imsic_bus_top.tl_s.map(x => IO(chiselTypeOf(x.getWrappedValue)))
110720dd621STang Haojin
111720dd621STang Haojin    val reset_sync = withClockAndReset(clock, reset) { ResetGen() }
1128537b88aSTang Haojin    val noc_reset_sync = withClockAndReset(noc_clock, noc_reset) { ResetGen() }
1138537b88aSTang Haojin    val soc_reset_sync = withClockAndReset(soc_clock, soc_reset) { ResetGen() }
114720dd621STang Haojin
115720dd621STang Haojin    // override LazyRawModuleImp's clock and reset
116720dd621STang Haojin    childClock := clock
117720dd621STang Haojin    childReset := reset_sync
118720dd621STang Haojin
1198537b88aSTang Haojin    // device clock and reset
1208537b88aSTang Haojin    wrapper.u_imsic_bus_top.module.clock := soc_clock
1218537b88aSTang Haojin    wrapper.u_imsic_bus_top.module.reset := soc_reset_sync
122720dd621STang Haojin
123720dd621STang Haojin    // imsic axi4lite io connection
124720dd621STang Haojin    wrapper.u_imsic_bus_top.module.m_s.foreach(_ <> imsic_m_s.get)
125720dd621STang Haojin    wrapper.u_imsic_bus_top.module.s_s.foreach(_ <> imsic_s_s.get)
126720dd621STang Haojin
127720dd621STang Haojin    // imsic tl io connection
128720dd621STang Haojin    wrapper.u_imsic_bus_top.tl_m.foreach(_ <> imsic_m_tl.get)
129720dd621STang Haojin    wrapper.u_imsic_bus_top.tl_s.foreach(_ <> imsic_s_tl.get)
130720dd621STang Haojin
131720dd621STang Haojin    // input
132720dd621STang Haojin    dontTouch(io)
133720dd621STang Haojin
134720dd621STang Haojin    core_with_l2.module.io.hartId := io.hartId
135720dd621STang Haojin    core_with_l2.module.io.nodeID.get := io.nodeID
136720dd621STang Haojin    io.riscv_halt := core_with_l2.module.io.cpu_halt
137720dd621STang Haojin    core_with_l2.module.io.reset_vector := io.riscv_rst_vec
1382f9ea954STang Haojin
139e2725c9eSzhanglinjuan    EnableClintAsyncBridge match {
140e2725c9eSzhanglinjuan      case Some(param) =>
141e2725c9eSzhanglinjuan        val source = withClockAndReset(soc_clock, soc_reset_sync) {
142e2725c9eSzhanglinjuan          Module(new AsyncQueueSource(UInt(64.W), param))
1438537b88aSTang Haojin        }
144e2725c9eSzhanglinjuan        source.io.enq.valid := io.clintTime.valid
145e2725c9eSzhanglinjuan        source.io.enq.bits := io.clintTime.bits
146e2725c9eSzhanglinjuan        core_with_l2.module.io.clintTime.get <> source.io.async
147e2725c9eSzhanglinjuan      case None =>
148e2725c9eSzhanglinjuan        core_with_l2.module.io.clintTime.get <> io.clintTime
149e2725c9eSzhanglinjuan    }
150e2725c9eSzhanglinjuan
151e2725c9eSzhanglinjuan    EnableCHIAsyncBridge match {
152e2725c9eSzhanglinjuan      case Some(param) =>
153*69652e6eSTang Haojin        val sink = withClockAndReset(noc_clock.get, noc_reset_sync) {
154e2725c9eSzhanglinjuan          Module(new CHIAsyncBridgeSink(param))
155e2725c9eSzhanglinjuan        }
156e2725c9eSzhanglinjuan        sink.io.async <> core_with_l2.module.io.chi.get
157e2725c9eSzhanglinjuan        io.chi <> sink.io.deq
158e2725c9eSzhanglinjuan      case None =>
159e2725c9eSzhanglinjuan        io.chi <> core_with_l2.module.io.chi.get
160e2725c9eSzhanglinjuan    }
1616cb0b9a3SsinceforYy
1626cb0b9a3SsinceforYy    core_with_l2.module.io.msiInfo.valid := wrapper.u_imsic_bus_top.module.o_msi_info_vld
1636cb0b9a3SsinceforYy    core_with_l2.module.io.msiInfo.bits.info := wrapper.u_imsic_bus_top.module.o_msi_info
164720dd621STang Haojin    // tie off core soft reset
165720dd621STang Haojin    core_rst_node.out.head._1 := false.B.asAsyncReset
166720dd621STang Haojin
167720dd621STang Haojin    core_with_l2.module.io.debugTopDown.l3MissMatch := false.B
168720dd621STang Haojin
169720dd621STang Haojin    withClockAndReset(clock, reset_sync) {
170720dd621STang Haojin      // Modules are reset one by one
171720dd621STang Haojin      // reset ----> SYNC --> Core
172720dd621STang Haojin      val resetChain = Seq(Seq(core_with_l2.module))
173720dd621STang Haojin      ResetGen(resetChain, reset_sync, !debugOpts.FPGAPlatform)
174720dd621STang Haojin    }
175720dd621STang Haojin
176720dd621STang Haojin  }
177720dd621STang Haojin
178720dd621STang Haojin  lazy val module = new XSNoCTopImp(this)
179720dd621STang Haojin}
180