xref: /XiangShan/src/main/scala/top/XSNoCTop.scala (revision 4a699e275a42daaf03e4f014bad0bb16d893e6ff)
1720dd621STang Haojin/***************************************************************************************
2720dd621STang Haojin* Copyright (c) 2024 Beijing Institute of Open Source Chip (BOSC)
3720dd621STang Haojin* Copyright (c) 2024 Institute of Computing Technology, Chinese Academy of Sciences
4720dd621STang Haojin*
5720dd621STang Haojin* XiangShan is licensed under Mulan PSL v2.
6720dd621STang Haojin* You can use this software according to the terms and conditions of the Mulan PSL v2.
7720dd621STang Haojin* You may obtain a copy of Mulan PSL v2 at:
8720dd621STang Haojin*          http://license.coscl.org.cn/MulanPSL2
9720dd621STang Haojin*
10720dd621STang Haojin* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11720dd621STang Haojin* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12720dd621STang Haojin* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13720dd621STang Haojin*
14720dd621STang Haojin* See the Mulan PSL v2 for more details.
15720dd621STang Haojin***************************************************************************************/
16720dd621STang Haojin
17720dd621STang Haojinpackage top
18720dd621STang Haojin
19720dd621STang Haojinimport chisel3._
20720dd621STang Haojinimport chisel3.util._
21720dd621STang Haojinimport xiangshan._
22720dd621STang Haojinimport utils._
23720dd621STang Haojinimport utility._
24720dd621STang Haojinimport system._
25720dd621STang Haojinimport device._
26720dd621STang Haojinimport org.chipsalliance.cde.config._
27720dd621STang Haojinimport freechips.rocketchip.amba.axi4._
28*4a699e27Szhanglinjuanimport freechips.rocketchip.devices.debug.DebugModuleKey
29720dd621STang Haojinimport freechips.rocketchip.diplomacy._
30720dd621STang Haojinimport freechips.rocketchip.interrupts._
31720dd621STang Haojinimport freechips.rocketchip.tilelink._
328537b88aSTang Haojinimport coupledL2.tl2chi.{PortIO, CHIAsyncBridgeSink}
33720dd621STang Haojinimport freechips.rocketchip.tile.MaxHartIdBits
348537b88aSTang Haojinimport freechips.rocketchip.util.{AsyncQueueSource, AsyncQueueParams}
358e93c8f6STang Haojinimport chisel3.experimental.{annotate, ChiselAnnotation}
368e93c8f6STang Haojinimport sifive.enterprise.firrtl.NestedPrefixModulesAnnotation
37720dd621STang Haojin
38c33deca9Sklin02import difftest.common.DifftestWiring
39c33deca9Sklin02import difftest.util.Profile
40c33deca9Sklin02
41720dd621STang Haojinclass XSNoCTop()(implicit p: Parameters) extends BaseXSSoc with HasSoCParameter
42720dd621STang Haojin{
43720dd621STang Haojin  override lazy val desiredName: String = "XSTop"
44720dd621STang Haojin
45720dd621STang Haojin  ResourceBinding {
46720dd621STang Haojin    val width = ResourceInt(2)
47720dd621STang Haojin    val model = "freechips,rocketchip-unknown"
48720dd621STang Haojin    Resource(ResourceAnchors.root, "model").bind(ResourceString(model))
49720dd621STang Haojin    Resource(ResourceAnchors.root, "compat").bind(ResourceString(model + "-dev"))
50720dd621STang Haojin    Resource(ResourceAnchors.soc, "compat").bind(ResourceString(model + "-soc"))
51720dd621STang Haojin    Resource(ResourceAnchors.root, "width").bind(width)
52720dd621STang Haojin    Resource(ResourceAnchors.soc, "width").bind(width)
53720dd621STang Haojin    Resource(ResourceAnchors.cpus, "width").bind(ResourceInt(1))
54720dd621STang Haojin    def bindManagers(xbar: TLNexusNode) = {
55720dd621STang Haojin      ManagerUnification(xbar.edges.in.head.manager.managers).foreach{ manager =>
56720dd621STang Haojin        manager.resources.foreach(r => r.bind(manager.toResource))
57720dd621STang Haojin      }
58720dd621STang Haojin    }
59720dd621STang Haojin  }
60720dd621STang Haojin
61e2725c9eSzhanglinjuan  require(enableCHI)
62e2725c9eSzhanglinjuan
63720dd621STang Haojin  // xstile
648537b88aSTang Haojin  val core_with_l2 = LazyModule(new XSTileWrap()(p.alter((site, here, up) => {
65720dd621STang Haojin    case XSCoreParamsKey => tiles.head
66bb2f3f51STang Haojin    case PerfCounterOptionsKey => up(PerfCounterOptionsKey).copy(perfDBHartID = tiles.head.HartId)
67720dd621STang Haojin  })))
68720dd621STang Haojin
69720dd621STang Haojin  // imsic bus top
709143e232SJiuyue Ma  val u_imsic_bus_top = LazyModule(new imsic_bus_top(
719143e232SJiuyue Ma    useTL = soc.IMSICUseTL,
729143e232SJiuyue Ma    baseAddress = (0x3A800000, 0x3B000000)
739143e232SJiuyue Ma  ))
74720dd621STang Haojin
75720dd621STang Haojin  // interrupts
76720dd621STang Haojin  val clintIntNode = IntSourceNode(IntSourcePortSimple(1, 1, 2))
77720dd621STang Haojin  val debugIntNode = IntSourceNode(IntSourcePortSimple(1, 1, 1))
78720dd621STang Haojin  val plicIntNode = IntSourceNode(IntSourcePortSimple(1, 2, 1))
798bc90631SZehao Liu  val nmiIntNode = IntSourceNode(IntSourcePortSimple(1, 1, (new NonmaskableInterruptIO).elements.size))
80720dd621STang Haojin  val beuIntNode = IntSinkNode(IntSinkPortSimple(1, 1))
818537b88aSTang Haojin  core_with_l2.clintIntNode := clintIntNode
828537b88aSTang Haojin  core_with_l2.debugIntNode := debugIntNode
838537b88aSTang Haojin  core_with_l2.plicIntNode :*= plicIntNode
848bc90631SZehao Liu  core_with_l2.nmiIntNode := nmiIntNode
857ff4ebdcSTang Haojin  beuIntNode := core_with_l2.beuIntNode
86720dd621STang Haojin  val clint = InModuleBody(clintIntNode.makeIOs())
87720dd621STang Haojin  val debug = InModuleBody(debugIntNode.makeIOs())
88720dd621STang Haojin  val plic = InModuleBody(plicIntNode.makeIOs())
898bc90631SZehao Liu  val nmi = InModuleBody(nmiIntNode.makeIOs())
90720dd621STang Haojin  val beu = InModuleBody(beuIntNode.makeIOs())
91720dd621STang Haojin
92*4a699e27Szhanglinjuan  // seperate DebugModule bus
93*4a699e27Szhanglinjuan  val EnableDMAsync = EnableDMAsyncBridge.isDefined
94*4a699e27Szhanglinjuan  // asynchronous bridge sink node
95*4a699e27Szhanglinjuan  val dmAsyncSinkOpt = Option.when(SeperateDMBus && EnableDMAsync)(
96*4a699e27Szhanglinjuan    LazyModule(new TLAsyncCrossingSink(EnableDMAsyncBridge.get))
97*4a699e27Szhanglinjuan  )
98*4a699e27Szhanglinjuan  dmAsyncSinkOpt.foreach(_.node := core_with_l2.dmAsyncSourceOpt.get.node)
99*4a699e27Szhanglinjuan  // synchronous sink node
100*4a699e27Szhanglinjuan  val dmSyncSinkOpt = Option.when(SeperateDMBus && !EnableDMAsync)(TLTempNode())
101*4a699e27Szhanglinjuan  dmSyncSinkOpt.foreach(_ := core_with_l2.dmSyncSourceOpt.get)
102*4a699e27Szhanglinjuan
103*4a699e27Szhanglinjuan  // The Manager Node is only used to make IO. Standalone DM should be used for XSNoCTopConfig
104*4a699e27Szhanglinjuan  val dm = Option.when(SeperateDMBus)(TLManagerNode(Seq(
105*4a699e27Szhanglinjuan    TLSlavePortParameters.v1(
106*4a699e27Szhanglinjuan      managers = Seq(
107*4a699e27Szhanglinjuan        TLSlaveParameters.v1(
108*4a699e27Szhanglinjuan          address = Seq(p(DebugModuleKey).get.address),
109*4a699e27Szhanglinjuan          regionType = RegionType.UNCACHED,
110*4a699e27Szhanglinjuan          supportsGet = TransferSizes(1, p(SoCParamsKey).L3BlockSize),
111*4a699e27Szhanglinjuan          supportsPutPartial = TransferSizes(1, p(SoCParamsKey).L3BlockSize),
112*4a699e27Szhanglinjuan          supportsPutFull = TransferSizes(1, p(SoCParamsKey).L3BlockSize),
113*4a699e27Szhanglinjuan          fifoId = Some(0)
114*4a699e27Szhanglinjuan        )
115*4a699e27Szhanglinjuan      ),
116*4a699e27Szhanglinjuan      beatBytes = 8
117*4a699e27Szhanglinjuan    )
118*4a699e27Szhanglinjuan  )))
119*4a699e27Szhanglinjuan  val dmXbar = Option.when(SeperateDMBus)(TLXbar())
120*4a699e27Szhanglinjuan  dmAsyncSinkOpt.foreach(sink => dmXbar.get := sink.node)
121*4a699e27Szhanglinjuan  dmSyncSinkOpt.foreach(sink => dmXbar.get := sink)
122*4a699e27Szhanglinjuan  dm.foreach(_ := dmXbar.get)
123*4a699e27Szhanglinjuan  // seperate debug module io
124*4a699e27Szhanglinjuan  val io_dm = dm.map(x => InModuleBody(x.makeIOs()))
125*4a699e27Szhanglinjuan
126720dd621STang Haojin  // reset nodes
127720dd621STang Haojin  val core_rst_node = BundleBridgeSource(() => Reset())
1288537b88aSTang Haojin  core_with_l2.tile.core_reset_sink := core_rst_node
129720dd621STang Haojin
130720dd621STang Haojin  class XSNoCTopImp(wrapper: XSNoCTop) extends LazyRawModuleImp(wrapper) {
1318e93c8f6STang Haojin    soc.XSTopPrefix.foreach { prefix =>
1328e93c8f6STang Haojin      val mod = this.toNamed
1338e93c8f6STang Haojin      annotate(new ChiselAnnotation {
1348e93c8f6STang Haojin        def toFirrtl = NestedPrefixModulesAnnotation(mod, prefix, true)
1358e93c8f6STang Haojin      })
1368e93c8f6STang Haojin    }
137720dd621STang Haojin    FileRegisters.add("dts", dts)
138720dd621STang Haojin    FileRegisters.add("graphml", graphML)
139720dd621STang Haojin    FileRegisters.add("json", json)
140720dd621STang Haojin    FileRegisters.add("plusArgs", freechips.rocketchip.util.PlusArgArtefacts.serialize_cHeader())
141720dd621STang Haojin
142720dd621STang Haojin    val clock = IO(Input(Clock()))
143720dd621STang Haojin    val reset = IO(Input(AsyncReset()))
14469652e6eSTang Haojin    val noc_clock = EnableCHIAsyncBridge.map(_ => IO(Input(Clock())))
14569652e6eSTang Haojin    val noc_reset = EnableCHIAsyncBridge.map(_ => IO(Input(AsyncReset())))
1468537b88aSTang Haojin    val soc_clock = IO(Input(Clock()))
1478537b88aSTang Haojin    val soc_reset = IO(Input(AsyncReset()))
148720dd621STang Haojin    val io = IO(new Bundle {
149720dd621STang Haojin      val hartId = Input(UInt(p(MaxHartIdBits).W))
150720dd621STang Haojin      val riscv_halt = Output(Bool())
15185a8d7caSZehao Liu      val riscv_critical_error = Output(Bool())
1523a3744e4Schengguanghui      val hartResetReq = Input(Bool())
153b30cb8bfSGuanghui Cheng      val hartIsInReset = Output(Bool())
1540700cab2STang Haojin      val riscv_rst_vec = Input(UInt(soc.PAddrBits.W))
155720dd621STang Haojin      val chi = new PortIO
1568537b88aSTang Haojin      val nodeID = Input(UInt(soc.NodeIDWidthList(issue).W))
1576cb0b9a3SsinceforYy      val clintTime = Input(ValidIO(UInt(64.W)))
158725e8ddcSchengguanghui      val traceCoreInterface = new Bundle {
159725e8ddcSchengguanghui        val fromEncoder = Input(new Bundle {
160725e8ddcSchengguanghui          val enable = Bool()
161725e8ddcSchengguanghui          val stall  = Bool()
162725e8ddcSchengguanghui        })
163725e8ddcSchengguanghui        val toEncoder   = Output(new Bundle {
164725e8ddcSchengguanghui          val cause     = UInt(TraceCauseWidth.W)
165725e8ddcSchengguanghui          val tval      = UInt(TraceTvalWidth.W)
166725e8ddcSchengguanghui          val priv      = UInt(TracePrivWidth.W)
167725e8ddcSchengguanghui          val iaddr     = UInt((TraceTraceGroupNum * TraceIaddrWidth).W)
168725e8ddcSchengguanghui          val itype     = UInt((TraceTraceGroupNum * TraceItypeWidth).W)
169725e8ddcSchengguanghui          val iretire   = UInt((TraceTraceGroupNum * TraceIretireWidthCompressed).W)
170725e8ddcSchengguanghui          val ilastsize = UInt((TraceTraceGroupNum * TraceIlastsizeWidth).W)
171725e8ddcSchengguanghui        })
172725e8ddcSchengguanghui      }
173720dd621STang Haojin    })
174720dd621STang Haojin    // imsic axi4lite io
1759143e232SJiuyue Ma    val imsic_axi4lite = wrapper.u_imsic_bus_top.module.axi4lite.map(x => IO(chiselTypeOf(x)))
176720dd621STang Haojin    // imsic tl io
177720dd621STang Haojin    val imsic_m_tl = wrapper.u_imsic_bus_top.tl_m.map(x => IO(chiselTypeOf(x.getWrappedValue)))
178720dd621STang Haojin    val imsic_s_tl = wrapper.u_imsic_bus_top.tl_s.map(x => IO(chiselTypeOf(x.getWrappedValue)))
179720dd621STang Haojin
18003459344STang Haojin    val noc_reset_sync = EnableCHIAsyncBridge.map(_ => withClockAndReset(noc_clock, noc_reset) { ResetGen() })
1818537b88aSTang Haojin    val soc_reset_sync = withClockAndReset(soc_clock, soc_reset) { ResetGen() }
182720dd621STang Haojin
1838537b88aSTang Haojin    // device clock and reset
1848537b88aSTang Haojin    wrapper.u_imsic_bus_top.module.clock := soc_clock
1858537b88aSTang Haojin    wrapper.u_imsic_bus_top.module.reset := soc_reset_sync
186720dd621STang Haojin
187720dd621STang Haojin    // imsic axi4lite io connection
1889143e232SJiuyue Ma    wrapper.u_imsic_bus_top.module.axi4lite.foreach(_ <> imsic_axi4lite.get)
189720dd621STang Haojin
190720dd621STang Haojin    // imsic tl io connection
191720dd621STang Haojin    wrapper.u_imsic_bus_top.tl_m.foreach(_ <> imsic_m_tl.get)
192720dd621STang Haojin    wrapper.u_imsic_bus_top.tl_s.foreach(_ <> imsic_s_tl.get)
193720dd621STang Haojin
194720dd621STang Haojin    // input
195720dd621STang Haojin    dontTouch(io)
196720dd621STang Haojin
1977ff4ebdcSTang Haojin    core_with_l2.module.clock := clock
1987ff4ebdcSTang Haojin    core_with_l2.module.reset := reset
1997ff4ebdcSTang Haojin    core_with_l2.module.noc_reset.foreach(_ := noc_reset.get)
2007ff4ebdcSTang Haojin    core_with_l2.module.soc_reset := soc_reset
201720dd621STang Haojin    core_with_l2.module.io.hartId := io.hartId
202720dd621STang Haojin    core_with_l2.module.io.nodeID.get := io.nodeID
203720dd621STang Haojin    io.riscv_halt := core_with_l2.module.io.cpu_halt
20485a8d7caSZehao Liu    io.riscv_critical_error := core_with_l2.module.io.cpu_crtical_error
2053a3744e4Schengguanghui    core_with_l2.module.io.hartResetReq := io.hartResetReq
206b30cb8bfSGuanghui Cheng    io.hartIsInReset := core_with_l2.module.io.hartIsInReset
207720dd621STang Haojin    core_with_l2.module.io.reset_vector := io.riscv_rst_vec
2083ad9f3ddSchengguanghui    // trace Interface
2093ad9f3ddSchengguanghui    val traceInterface = core_with_l2.module.io.traceCoreInterface
2103ad9f3ddSchengguanghui    traceInterface.fromEncoder := io.traceCoreInterface.fromEncoder
2113ad9f3ddSchengguanghui    io.traceCoreInterface.toEncoder.priv := traceInterface.toEncoder.priv
2123ad9f3ddSchengguanghui    io.traceCoreInterface.toEncoder.cause := traceInterface.toEncoder.trap.cause
2133ad9f3ddSchengguanghui    io.traceCoreInterface.toEncoder.tval := traceInterface.toEncoder.trap.tval
2143ad9f3ddSchengguanghui    io.traceCoreInterface.toEncoder.iaddr := VecInit(traceInterface.toEncoder.groups.map(_.bits.iaddr)).asUInt
2153ad9f3ddSchengguanghui    io.traceCoreInterface.toEncoder.itype := VecInit(traceInterface.toEncoder.groups.map(_.bits.itype)).asUInt
2163ad9f3ddSchengguanghui    io.traceCoreInterface.toEncoder.iretire := VecInit(traceInterface.toEncoder.groups.map(_.bits.iretire)).asUInt
2173ad9f3ddSchengguanghui    io.traceCoreInterface.toEncoder.ilastsize := VecInit(traceInterface.toEncoder.groups.map(_.bits.ilastsize)).asUInt
2182f9ea954STang Haojin
219e2725c9eSzhanglinjuan    EnableClintAsyncBridge match {
220e2725c9eSzhanglinjuan      case Some(param) =>
2217ff4ebdcSTang Haojin        withClockAndReset(soc_clock, soc_reset_sync) {
2227ff4ebdcSTang Haojin          val source = Module(new AsyncQueueSource(UInt(64.W), param))
223e2725c9eSzhanglinjuan          source.io.enq.valid := io.clintTime.valid
224e2725c9eSzhanglinjuan          source.io.enq.bits := io.clintTime.bits
2257ff4ebdcSTang Haojin          core_with_l2.module.io.clintTime <> source.io.async
2267ff4ebdcSTang Haojin        }
227e2725c9eSzhanglinjuan      case None =>
2287ff4ebdcSTang Haojin        core_with_l2.module.io.clintTime <> io.clintTime
229e2725c9eSzhanglinjuan    }
230e2725c9eSzhanglinjuan
231e2725c9eSzhanglinjuan    EnableCHIAsyncBridge match {
232e2725c9eSzhanglinjuan      case Some(param) =>
2337ff4ebdcSTang Haojin        withClockAndReset(noc_clock.get, noc_reset_sync.get) {
2347ff4ebdcSTang Haojin          val sink = Module(new CHIAsyncBridgeSink(param))
2357ff4ebdcSTang Haojin          sink.io.async <> core_with_l2.module.io.chi
236e2725c9eSzhanglinjuan          io.chi <> sink.io.deq
2377ff4ebdcSTang Haojin        }
238e2725c9eSzhanglinjuan      case None =>
2397ff4ebdcSTang Haojin        io.chi <> core_with_l2.module.io.chi
240e2725c9eSzhanglinjuan    }
2416cb0b9a3SsinceforYy
242*4a699e27Szhanglinjuan    // Seperate DebugModule TL Async Queue Sink
243*4a699e27Szhanglinjuan    if (SeperateDMBus && EnableDMAsync) {
244*4a699e27Szhanglinjuan      dmAsyncSinkOpt.get.module.clock := soc_clock
245*4a699e27Szhanglinjuan      dmAsyncSinkOpt.get.module.reset := soc_reset_sync
246*4a699e27Szhanglinjuan    }
247*4a699e27Szhanglinjuan
2486cb0b9a3SsinceforYy    core_with_l2.module.io.msiInfo.valid := wrapper.u_imsic_bus_top.module.o_msi_info_vld
2496cb0b9a3SsinceforYy    core_with_l2.module.io.msiInfo.bits.info := wrapper.u_imsic_bus_top.module.o_msi_info
250720dd621STang Haojin    // tie off core soft reset
251720dd621STang Haojin    core_rst_node.out.head._1 := false.B.asAsyncReset
252720dd621STang Haojin
253720dd621STang Haojin    core_with_l2.module.io.debugTopDown.l3MissMatch := false.B
254e836c770SZhaoyang You    core_with_l2.module.io.l3Miss := false.B
255720dd621STang Haojin  }
256720dd621STang Haojin
257720dd621STang Haojin  lazy val module = new XSNoCTopImp(this)
258720dd621STang Haojin}
259c33deca9Sklin02
260c33deca9Sklin02class XSNoCDiffTop(implicit p: Parameters) extends Module {
261c33deca9Sklin02  override val desiredName: String = "XSDiffTop"
262c33deca9Sklin02  val l_soc = LazyModule(new XSNoCTop())
263c33deca9Sklin02  val soc = Module(l_soc.module)
264c33deca9Sklin02
265c33deca9Sklin02  // Expose XSTop IOs outside, i.e. io
266c33deca9Sklin02  def exposeIO(data: Data, name: String): Unit = {
267c33deca9Sklin02    val dummy = IO(chiselTypeOf(data)).suggestName(name)
268c33deca9Sklin02    dummy <> data
269c33deca9Sklin02  }
270c33deca9Sklin02  def exposeOptionIO(data: Option[Data], name: String): Unit = {
271c33deca9Sklin02    if (data.isDefined) {
272c33deca9Sklin02      val dummy = IO(chiselTypeOf(data.get)).suggestName(name)
273c33deca9Sklin02      dummy <> data.get
274c33deca9Sklin02    }
275c33deca9Sklin02  }
276c33deca9Sklin02  exposeIO(l_soc.clint, "clint")
277c33deca9Sklin02  exposeIO(l_soc.debug, "debug")
278c33deca9Sklin02  exposeIO(l_soc.plic, "plic")
279c33deca9Sklin02  exposeIO(l_soc.beu, "beu")
280c33deca9Sklin02  exposeIO(l_soc.nmi, "nmi")
281c33deca9Sklin02  soc.clock := clock
282c33deca9Sklin02  soc.reset := reset.asAsyncReset
283c33deca9Sklin02  exposeIO(soc.soc_clock, "soc_clock")
284c33deca9Sklin02  exposeIO(soc.soc_reset, "soc_reset")
285c33deca9Sklin02  exposeIO(soc.io, "io")
286c33deca9Sklin02  exposeOptionIO(soc.noc_clock, "noc_clock")
287c33deca9Sklin02  exposeOptionIO(soc.noc_reset, "noc_reset")
288c33deca9Sklin02  exposeOptionIO(soc.imsic_axi4lite, "imsic_axi4lite")
289c33deca9Sklin02
290c33deca9Sklin02  // TODO:
291c33deca9Sklin02  // XSDiffTop is only part of DUT, we can not instantiate difftest here.
292c33deca9Sklin02  // Temporarily we collect Performance counters for each DiffTop, need control signals passed from Difftest
293c33deca9Sklin02  val timer = IO(Input(UInt(64.W)))
294c33deca9Sklin02  val logEnable = IO(Input(Bool()))
295c33deca9Sklin02  val clean = IO(Input(Bool()))
296c33deca9Sklin02  val dump = IO(Input(Bool()))
297c33deca9Sklin02  XSLog.collect(timer, logEnable, clean, dump)
298c33deca9Sklin02  DifftestWiring.createAndConnectExtraIOs()
299c33deca9Sklin02  Profile.generateJson("XiangShan")
300c33deca9Sklin02  XSNoCDiffTopChecker()
301c33deca9Sklin02}
302c33deca9Sklin02
303c33deca9Sklin02//TODO:
304c33deca9Sklin02//Currently we use two-step XiangShan-Difftest, generating XS(with Diff Interface only) and Difftest seperately
305c33deca9Sklin02//To avoid potential interface problem between XS and Diff, we add Checker and CI(dual-core)
306c33deca9Sklin02//We will try one-step XS-Diff later
307c33deca9Sklin02object XSNoCDiffTopChecker {
308c33deca9Sklin02  def apply(): Unit = {
309c33deca9Sklin02    val verilog =
310c33deca9Sklin02      """
311c33deca9Sklin02        |`define CONFIG_XSCORE_NR 2
312c33deca9Sklin02        |`include "gateway_interface.svh"
313c33deca9Sklin02        |module XSDiffTopChecker(
314c33deca9Sklin02        | input                                 cpu_clk,
315c33deca9Sklin02        | input                                 cpu_rstn,
316c33deca9Sklin02        | input                                 sys_clk,
317c33deca9Sklin02        | input                                 sys_rstn
318c33deca9Sklin02        |);
319c33deca9Sklin02        |wire [63:0] timer;
320c33deca9Sklin02        |wire logEnable;
321c33deca9Sklin02        |wire clean;
322c33deca9Sklin02        |wire dump;
323c33deca9Sklin02        |// FIXME: use siganls from Difftest rather than default value
324c33deca9Sklin02        |assign timer = 64'b0;
325c33deca9Sklin02        |assign logEnable = 1'b0;
326c33deca9Sklin02        |assign clean = 1'b0;
327c33deca9Sklin02        |assign dump = 1'b0;
328c33deca9Sklin02        |gateway_if gateway_if_i();
329c33deca9Sklin02        |core_if core_if_o[`CONFIG_XSCORE_NR]();
330c33deca9Sklin02        |generate
331c33deca9Sklin02        |    genvar i;
332c33deca9Sklin02        |    for (i = 0; i < `CONFIG_XSCORE_NR; i = i+1)
333c33deca9Sklin02        |    begin: u_CPU_TOP
334c33deca9Sklin02        |    // FIXME: add missing ports
335c33deca9Sklin02        |    XSDiffTop u_XSTop (
336c33deca9Sklin02        |        .clock                   (cpu_clk),
337c33deca9Sklin02        |        .noc_clock               (sys_clk),
338c33deca9Sklin02        |        .soc_clock               (sys_clk),
339c33deca9Sklin02        |        .io_hartId               (6'h0 + i),
340c33deca9Sklin02        |        .timer                   (timer),
341c33deca9Sklin02        |        .logEnable               (logEnable),
342c33deca9Sklin02        |        .clean                   (clean),
343c33deca9Sklin02        |        .dump                    (dump),
344c33deca9Sklin02        |        .gateway_out             (core_if_o[i])
345c33deca9Sklin02        |    );
346c33deca9Sklin02        |    end
347c33deca9Sklin02        |endgenerate
348c33deca9Sklin02        |    CoreToGateway u_CoreToGateway(
349c33deca9Sklin02        |    .gateway_out (gateway_if_i.out),
350c33deca9Sklin02        |    .core_in (core_if_o)
351c33deca9Sklin02        |    );
352c33deca9Sklin02        |    GatewayEndpoint u_GatewayEndpoint(
353c33deca9Sklin02        |    .clock (sys_clk),
354c33deca9Sklin02        |    .reset (sys_rstn),
355c33deca9Sklin02        |    .gateway_in (gateway_if_i.in),
356c33deca9Sklin02        |    .step ()
357c33deca9Sklin02        |    );
358c33deca9Sklin02        |
359c33deca9Sklin02        |endmodule
360c33deca9Sklin02      """.stripMargin
361c33deca9Sklin02    FileRegisters.writeOutputFile("./build", "XSDiffTopChecker.sv", verilog)
362c33deca9Sklin02  }
363c33deca9Sklin02}
364