xref: /XiangShan/src/main/scala/top/XSNoCTop.scala (revision 862747db93b391b5a8c49966461c15d66a3b40b2)
1720dd621STang Haojin/***************************************************************************************
2720dd621STang Haojin* Copyright (c) 2024 Beijing Institute of Open Source Chip (BOSC)
3720dd621STang Haojin* Copyright (c) 2024 Institute of Computing Technology, Chinese Academy of Sciences
4720dd621STang Haojin*
5720dd621STang Haojin* XiangShan is licensed under Mulan PSL v2.
6720dd621STang Haojin* You can use this software according to the terms and conditions of the Mulan PSL v2.
7720dd621STang Haojin* You may obtain a copy of Mulan PSL v2 at:
8720dd621STang Haojin*          http://license.coscl.org.cn/MulanPSL2
9720dd621STang Haojin*
10720dd621STang Haojin* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11720dd621STang Haojin* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12720dd621STang Haojin* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13720dd621STang Haojin*
14720dd621STang Haojin* See the Mulan PSL v2 for more details.
15720dd621STang Haojin***************************************************************************************/
16720dd621STang Haojin
17720dd621STang Haojinpackage top
18720dd621STang Haojin
19720dd621STang Haojinimport chisel3._
20720dd621STang Haojinimport chisel3.util._
218cfc24b2STang Haojinimport chisel3.experimental.dataview._
22720dd621STang Haojinimport xiangshan._
23720dd621STang Haojinimport utils._
24720dd621STang Haojinimport utility._
2530f35717Scz4eimport utility.sram.SramBroadcastBundle
26720dd621STang Haojinimport system._
27720dd621STang Haojinimport device._
28720dd621STang Haojinimport org.chipsalliance.cde.config._
29720dd621STang Haojinimport freechips.rocketchip.amba.axi4._
304a699e27Szhanglinjuanimport freechips.rocketchip.devices.debug.DebugModuleKey
31720dd621STang Haojinimport freechips.rocketchip.diplomacy._
32720dd621STang Haojinimport freechips.rocketchip.interrupts._
33720dd621STang Haojinimport freechips.rocketchip.tilelink._
344b2c87baS梁森 Liang Senimport coupledL2.tl2chi.{CHIAsyncBridgeSink, PortIO}
35720dd621STang Haojinimport freechips.rocketchip.tile.MaxHartIdBits
364b2c87baS梁森 Liang Senimport freechips.rocketchip.util.{AsyncQueueParams, AsyncQueueSource}
374b2c87baS梁森 Liang Senimport chisel3.experimental.{ChiselAnnotation, annotate}
388e93c8f6STang Haojinimport sifive.enterprise.firrtl.NestedPrefixModulesAnnotation
39*862747dbSzhaohong1988import freechips.rocketchip.util.AsyncResetSynchronizerShiftReg
40720dd621STang Haojin
41c33deca9Sklin02import difftest.common.DifftestWiring
42c33deca9Sklin02import difftest.util.Profile
43c33deca9Sklin02
44720dd621STang Haojinclass XSNoCTop()(implicit p: Parameters) extends BaseXSSoc with HasSoCParameter
45720dd621STang Haojin{
46720dd621STang Haojin  override lazy val desiredName: String = "XSTop"
47720dd621STang Haojin
48720dd621STang Haojin  ResourceBinding {
49720dd621STang Haojin    val width = ResourceInt(2)
50720dd621STang Haojin    val model = "freechips,rocketchip-unknown"
51720dd621STang Haojin    Resource(ResourceAnchors.root, "model").bind(ResourceString(model))
52720dd621STang Haojin    Resource(ResourceAnchors.root, "compat").bind(ResourceString(model + "-dev"))
53720dd621STang Haojin    Resource(ResourceAnchors.soc, "compat").bind(ResourceString(model + "-soc"))
54720dd621STang Haojin    Resource(ResourceAnchors.root, "width").bind(width)
55720dd621STang Haojin    Resource(ResourceAnchors.soc, "width").bind(width)
56720dd621STang Haojin    Resource(ResourceAnchors.cpus, "width").bind(ResourceInt(1))
57720dd621STang Haojin    def bindManagers(xbar: TLNexusNode) = {
58720dd621STang Haojin      ManagerUnification(xbar.edges.in.head.manager.managers).foreach{ manager =>
59720dd621STang Haojin        manager.resources.foreach(r => r.bind(manager.toResource))
60720dd621STang Haojin      }
61720dd621STang Haojin    }
62720dd621STang Haojin  }
63720dd621STang Haojin
64e2725c9eSzhanglinjuan  require(enableCHI)
65e2725c9eSzhanglinjuan
66720dd621STang Haojin  // xstile
678537b88aSTang Haojin  val core_with_l2 = LazyModule(new XSTileWrap()(p.alter((site, here, up) => {
68720dd621STang Haojin    case XSCoreParamsKey => tiles.head
69bb2f3f51STang Haojin    case PerfCounterOptionsKey => up(PerfCounterOptionsKey).copy(perfDBHartID = tiles.head.HartId)
70720dd621STang Haojin  })))
71720dd621STang Haojin
72720dd621STang Haojin  // imsic bus top
738cfc24b2STang Haojin  val u_imsic_bus_top = LazyModule(new imsic_bus_top)
74720dd621STang Haojin
75720dd621STang Haojin  // interrupts
76720dd621STang Haojin  val clintIntNode = IntSourceNode(IntSourcePortSimple(1, 1, 2))
77720dd621STang Haojin  val debugIntNode = IntSourceNode(IntSourcePortSimple(1, 1, 1))
78720dd621STang Haojin  val plicIntNode = IntSourceNode(IntSourcePortSimple(1, 2, 1))
798bc90631SZehao Liu  val nmiIntNode = IntSourceNode(IntSourcePortSimple(1, 1, (new NonmaskableInterruptIO).elements.size))
80720dd621STang Haojin  val beuIntNode = IntSinkNode(IntSinkPortSimple(1, 1))
818537b88aSTang Haojin  core_with_l2.clintIntNode := clintIntNode
828537b88aSTang Haojin  core_with_l2.debugIntNode := debugIntNode
838537b88aSTang Haojin  core_with_l2.plicIntNode :*= plicIntNode
848bc90631SZehao Liu  core_with_l2.nmiIntNode := nmiIntNode
857ff4ebdcSTang Haojin  beuIntNode := core_with_l2.beuIntNode
86720dd621STang Haojin  val clint = InModuleBody(clintIntNode.makeIOs())
87720dd621STang Haojin  val debug = InModuleBody(debugIntNode.makeIOs())
88720dd621STang Haojin  val plic = InModuleBody(plicIntNode.makeIOs())
898bc90631SZehao Liu  val nmi = InModuleBody(nmiIntNode.makeIOs())
90720dd621STang Haojin  val beu = InModuleBody(beuIntNode.makeIOs())
91720dd621STang Haojin
924a699e27Szhanglinjuan  // asynchronous bridge sink node
9316ae9ddcSTang Haojin  val tlAsyncSinkOpt = Option.when(SeperateTLBus && EnableSeperateTLAsync)(
9416ae9ddcSTang Haojin    LazyModule(new TLAsyncCrossingSink(SeperateTLAsyncBridge.get))
954a699e27Szhanglinjuan  )
9616ae9ddcSTang Haojin  tlAsyncSinkOpt.foreach(_.node := core_with_l2.tlAsyncSourceOpt.get.node)
974a699e27Szhanglinjuan  // synchronous sink node
9816ae9ddcSTang Haojin  val tlSyncSinkOpt = Option.when(SeperateTLBus && !EnableSeperateTLAsync)(TLTempNode())
9916ae9ddcSTang Haojin  tlSyncSinkOpt.foreach(_ := core_with_l2.tlSyncSourceOpt.get)
1004a699e27Szhanglinjuan
10116ae9ddcSTang Haojin  // The Manager Node is only used to make IO
10216ae9ddcSTang Haojin  val tl = Option.when(SeperateTLBus)(TLManagerNode(Seq(
1034a699e27Szhanglinjuan    TLSlavePortParameters.v1(
10416ae9ddcSTang Haojin      managers = SeperateTLBusRanges map { address =>
1054a699e27Szhanglinjuan        TLSlaveParameters.v1(
10616ae9ddcSTang Haojin          address = Seq(address),
1074a699e27Szhanglinjuan          regionType = RegionType.UNCACHED,
10816ae9ddcSTang Haojin          executable = true,
1094a699e27Szhanglinjuan          supportsGet = TransferSizes(1, p(SoCParamsKey).L3BlockSize),
1104a699e27Szhanglinjuan          supportsPutPartial = TransferSizes(1, p(SoCParamsKey).L3BlockSize),
1114a699e27Szhanglinjuan          supportsPutFull = TransferSizes(1, p(SoCParamsKey).L3BlockSize),
1124a699e27Szhanglinjuan          fifoId = Some(0)
1134a699e27Szhanglinjuan        )
11416ae9ddcSTang Haojin
11516ae9ddcSTang Haojin      },
1164a699e27Szhanglinjuan      beatBytes = 8
1174a699e27Szhanglinjuan    )
1184a699e27Szhanglinjuan  )))
11916ae9ddcSTang Haojin  val tlXbar = Option.when(SeperateTLBus)(TLXbar())
12016ae9ddcSTang Haojin  tlAsyncSinkOpt.foreach(sink => tlXbar.get := sink.node)
12116ae9ddcSTang Haojin  tlSyncSinkOpt.foreach(sink => tlXbar.get := sink)
12216ae9ddcSTang Haojin  tl.foreach(_ := tlXbar.get)
12316ae9ddcSTang Haojin  // seperate TL io
12416ae9ddcSTang Haojin  val io_tl = tl.map(x => InModuleBody(x.makeIOs()))
1254a699e27Szhanglinjuan
126720dd621STang Haojin  // reset nodes
127720dd621STang Haojin  val core_rst_node = BundleBridgeSource(() => Reset())
1288537b88aSTang Haojin  core_with_l2.tile.core_reset_sink := core_rst_node
129720dd621STang Haojin
130720dd621STang Haojin  class XSNoCTopImp(wrapper: XSNoCTop) extends LazyRawModuleImp(wrapper) {
1318e93c8f6STang Haojin    soc.XSTopPrefix.foreach { prefix =>
1328e93c8f6STang Haojin      val mod = this.toNamed
1338e93c8f6STang Haojin      annotate(new ChiselAnnotation {
1348e93c8f6STang Haojin        def toFirrtl = NestedPrefixModulesAnnotation(mod, prefix, true)
1358e93c8f6STang Haojin      })
1368e93c8f6STang Haojin    }
137720dd621STang Haojin    FileRegisters.add("dts", dts)
138720dd621STang Haojin    FileRegisters.add("graphml", graphML)
139720dd621STang Haojin    FileRegisters.add("json", json)
140720dd621STang Haojin    FileRegisters.add("plusArgs", freechips.rocketchip.util.PlusArgArtefacts.serialize_cHeader())
141720dd621STang Haojin
142720dd621STang Haojin    val clock = IO(Input(Clock()))
143720dd621STang Haojin    val reset = IO(Input(AsyncReset()))
14469652e6eSTang Haojin    val noc_clock = EnableCHIAsyncBridge.map(_ => IO(Input(Clock())))
14569652e6eSTang Haojin    val noc_reset = EnableCHIAsyncBridge.map(_ => IO(Input(AsyncReset())))
1468537b88aSTang Haojin    val soc_clock = IO(Input(Clock()))
1478537b88aSTang Haojin    val soc_reset = IO(Input(AsyncReset()))
1484b2c87baS梁森 Liang Sen    private val hasMbist = tiles.head.hasMbist
149602aa9f1Scz4e    private val hasSramCtl = tiles.head.hasSramCtl
15030f35717Scz4e    private val hasDFT = hasMbist || hasSramCtl
151720dd621STang Haojin    val io = IO(new Bundle {
152720dd621STang Haojin      val hartId = Input(UInt(p(MaxHartIdBits).W))
153720dd621STang Haojin      val riscv_halt = Output(Bool())
15485a8d7caSZehao Liu      val riscv_critical_error = Output(Bool())
1553a3744e4Schengguanghui      val hartResetReq = Input(Bool())
156b30cb8bfSGuanghui Cheng      val hartIsInReset = Output(Bool())
1570700cab2STang Haojin      val riscv_rst_vec = Input(UInt(soc.PAddrBits.W))
158720dd621STang Haojin      val chi = new PortIO
1598537b88aSTang Haojin      val nodeID = Input(UInt(soc.NodeIDWidthList(issue).W))
1606cb0b9a3SsinceforYy      val clintTime = Input(ValidIO(UInt(64.W)))
161725e8ddcSchengguanghui      val traceCoreInterface = new Bundle {
162725e8ddcSchengguanghui        val fromEncoder = Input(new Bundle {
163725e8ddcSchengguanghui          val enable = Bool()
164725e8ddcSchengguanghui          val stall  = Bool()
165725e8ddcSchengguanghui        })
166725e8ddcSchengguanghui        val toEncoder   = Output(new Bundle {
167725e8ddcSchengguanghui          val cause     = UInt(TraceCauseWidth.W)
168725e8ddcSchengguanghui          val tval      = UInt(TraceTvalWidth.W)
169725e8ddcSchengguanghui          val priv      = UInt(TracePrivWidth.W)
170725e8ddcSchengguanghui          val iaddr     = UInt((TraceTraceGroupNum * TraceIaddrWidth).W)
171725e8ddcSchengguanghui          val itype     = UInt((TraceTraceGroupNum * TraceItypeWidth).W)
172725e8ddcSchengguanghui          val iretire   = UInt((TraceTraceGroupNum * TraceIretireWidthCompressed).W)
173725e8ddcSchengguanghui          val ilastsize = UInt((TraceTraceGroupNum * TraceIlastsizeWidth).W)
174725e8ddcSchengguanghui        })
175725e8ddcSchengguanghui      }
17630f35717Scz4e      val dft = Option.when(hasDFT)(Input(new SramBroadcastBundle))
177e8b2ab2cSTang Haojin      val dft_reset = Option.when(hasMbist)(Input(new DFTResetSignals()))
1784d7fbe77Syulightenyu      val lp = Option.when(EnablePowerDown) (new LowPowerIO)
179720dd621STang Haojin    })
1808cfc24b2STang Haojin    // imsic axi4 io
1818cfc24b2STang Haojin    val imsic_axi4 = wrapper.u_imsic_bus_top.axi4.map(x => IO(Flipped(new VerilogAXI4Record(x.elts.head.params.copy(addrBits = 32)))))
182720dd621STang Haojin    // imsic tl io
183720dd621STang Haojin    val imsic_m_tl = wrapper.u_imsic_bus_top.tl_m.map(x => IO(chiselTypeOf(x.getWrappedValue)))
184720dd621STang Haojin    val imsic_s_tl = wrapper.u_imsic_bus_top.tl_s.map(x => IO(chiselTypeOf(x.getWrappedValue)))
1858cfc24b2STang Haojin    // imsic bare io
1868cfc24b2STang Haojin    val imsic = wrapper.u_imsic_bus_top.module.msi.map(x => IO(chiselTypeOf(x)))
187720dd621STang Haojin
188e8b2ab2cSTang Haojin    val noc_reset_sync = EnableCHIAsyncBridge.map(_ => withClockAndReset(noc_clock, noc_reset) { ResetGen(2, io.dft_reset) })
189e8b2ab2cSTang Haojin    val soc_reset_sync = withClockAndReset(soc_clock, soc_reset) { ResetGen(2, io.dft_reset) }
19030f35717Scz4e    wrapper.core_with_l2.module.io.dft.zip(io.dft).foreach { case (a, b) => a := b }
19130f35717Scz4e    wrapper.core_with_l2.module.io.dft_reset.zip(io.dft_reset).foreach { case (a, b) => a := b }
1928537b88aSTang Haojin    // device clock and reset
1938537b88aSTang Haojin    wrapper.u_imsic_bus_top.module.clock := soc_clock
1948537b88aSTang Haojin    wrapper.u_imsic_bus_top.module.reset := soc_reset_sync
195720dd621STang Haojin
1968cfc24b2STang Haojin    // imsic axi4 io connection
1978cfc24b2STang Haojin    imsic_axi4.foreach(_.viewAs[AXI4Bundle] <> wrapper.u_imsic_bus_top.axi4.get.elements.head._2)
198720dd621STang Haojin    // imsic tl io connection
199720dd621STang Haojin    wrapper.u_imsic_bus_top.tl_m.foreach(_ <> imsic_m_tl.get)
200720dd621STang Haojin    wrapper.u_imsic_bus_top.tl_s.foreach(_ <> imsic_s_tl.get)
2018cfc24b2STang Haojin    // imsic bare io connection
2028cfc24b2STang Haojin    wrapper.u_imsic_bus_top.module.msi.foreach(_ <> imsic.get)
203720dd621STang Haojin
204720dd621STang Haojin    // input
205720dd621STang Haojin    dontTouch(io)
206720dd621STang Haojin
2074d7fbe77Syulightenyu    /*
2084d7fbe77Syulightenyu     SoC control the sequence of power on/off with isolation/reset/clock
2094d7fbe77Syulightenyu     */
2104d7fbe77Syulightenyu    val soc_rst_n = io.lp.map(_.i_cpu_sw_rst_n).getOrElse(true.B)
2114d7fbe77Syulightenyu    val soc_iso_en = io.lp.map(_.i_cpu_iso_en).getOrElse(false.B)
2124d7fbe77Syulightenyu
2134d7fbe77Syulightenyu    /* Core+L2 reset when:
2144d7fbe77Syulightenyu     1. normal reset from SoC
2154d7fbe77Syulightenyu     2. SoC initialize reset during Power on/off flow
2164d7fbe77Syulightenyu     */
2174d7fbe77Syulightenyu    val cpuReset = reset.asBool || !soc_rst_n
218*862747dbSzhaohong1988    val cpuReset_sync = withClockAndReset(clock, cpuReset.asAsyncReset)(ResetGen(2, io.dft_reset))
2194d7fbe77Syulightenyu    //Interrupt sources collect
220*862747dbSzhaohong1988    val msip  = withClockAndReset(clock, cpuReset_sync) {AsyncResetSynchronizerShiftReg(clint.head(0), 3, 0)}
221*862747dbSzhaohong1988    val mtip  = withClockAndReset(clock, cpuReset_sync) {AsyncResetSynchronizerShiftReg(clint.head(1), 3, 0)}
222*862747dbSzhaohong1988    val meip  = withClockAndReset(clock, cpuReset_sync) {AsyncResetSynchronizerShiftReg(plic.head(0), 3, 0)}
223*862747dbSzhaohong1988    val seip  = withClockAndReset(clock, cpuReset_sync) {AsyncResetSynchronizerShiftReg(plic.last(0), 3, 0)}
224*862747dbSzhaohong1988    val nmi_31 = withClockAndReset(clock, cpuReset_sync) {AsyncResetSynchronizerShiftReg(nmi.head(0), 3, 0)}
225*862747dbSzhaohong1988    val nmi_43 = withClockAndReset(clock, cpuReset_sync) {AsyncResetSynchronizerShiftReg(nmi.head(1), 3, 0)}
226*862747dbSzhaohong1988    val debugIntr = withClockAndReset(clock, cpuReset_sync) {AsyncResetSynchronizerShiftReg(debug.head(0),3,0)}
227*862747dbSzhaohong1988    val msi_info_vld = withClockAndReset(clock, cpuReset_sync) {AsyncResetSynchronizerShiftReg(core_with_l2.module.io.msiInfo.valid, 3, 0)}
228b5c820f6SGuanghui Cheng    val intSrc = Cat(msip, mtip, meip, seip, nmi_31, nmi_43, debugIntr, msi_info_vld)
2294d7fbe77Syulightenyu
2304d7fbe77Syulightenyu    /*
2314d7fbe77Syulightenyu     * CPU Low Power State:
2324d7fbe77Syulightenyu     * 1. core+L2 Low power state transactions is triggered by l2 flush request from core CSR
2334d7fbe77Syulightenyu     * 2. wait L2 flush done
2344d7fbe77Syulightenyu     * 3. wait Core to wfi -> send out < io.o_cpu_no_op >
2354d7fbe77Syulightenyu     */
2364d7fbe77Syulightenyu    val sIDLE :: sL2FLUSH :: sWAITWFI :: sEXITCO :: sPOFFREQ :: Nil = Enum(5)
237*862747dbSzhaohong1988    val lpState = withClockAndReset(clock, cpuReset_sync) {RegInit(sIDLE)}
238*862747dbSzhaohong1988    val l2_flush_en = withClockAndReset(clock, cpuReset_sync) {
239*862747dbSzhaohong1988      AsyncResetSynchronizerShiftReg(core_with_l2.module.io.l2_flush_en.getOrElse(false.B), 3, 0)
240*862747dbSzhaohong1988    }
241*862747dbSzhaohong1988    val l2_flush_done = withClockAndReset(clock, cpuReset_sync) {
242*862747dbSzhaohong1988      AsyncResetSynchronizerShiftReg(core_with_l2.module.io.l2_flush_done.getOrElse(false.B), 3, 0)
243*862747dbSzhaohong1988    }
244*862747dbSzhaohong1988    val isWFI = withClockAndReset(clock, cpuReset_sync) {
245*862747dbSzhaohong1988      AsyncResetSynchronizerShiftReg(core_with_l2.module.io.cpu_halt, 3, 0)
246*862747dbSzhaohong1988    }
247*862747dbSzhaohong1988    val exitco = withClockAndReset(clock, cpuReset_sync) {
248*862747dbSzhaohong1988      AsyncResetSynchronizerShiftReg((!io.chi.syscoreq & !io.chi.syscoack),3, 0)}
249814aa9ecSyulightenyu    val QACTIVE = WireInit(false.B)
250814aa9ecSyulightenyu    val QACCEPTn = WireInit(false.B)
251814aa9ecSyulightenyu    lpState := lpStateNext(lpState, l2_flush_en, l2_flush_done, isWFI, exitco, QACTIVE, QACCEPTn)
2524d7fbe77Syulightenyu    io.lp.foreach { lp => lp.o_cpu_no_op := lpState === sPOFFREQ } // inform SoC core+l2 want to power off
2534d7fbe77Syulightenyu
2544d7fbe77Syulightenyu    /*WFI clock Gating state
2554d7fbe77Syulightenyu     1. works only when lpState is IDLE means Core+L2 works in normal state
2564d7fbe77Syulightenyu     2. when Core is in wfi state, core+l2 clock is gated
2574d7fbe77Syulightenyu     3. only reset/interrupt/snoop could recover core+l2 clock
2584d7fbe77Syulightenyu    */
259ce80648bSyulightenyu    val sNORMAL :: sGCLOCK :: sAWAKE :: sFLITWAKE :: Nil = Enum(4)
260*862747dbSzhaohong1988    val wfiState = withClockAndReset(clock, cpuReset_sync) {RegInit(sNORMAL)}
2614d7fbe77Syulightenyu    val isNormal = lpState === sIDLE
262*862747dbSzhaohong1988    val wfiGateClock = withClockAndReset(clock, cpuReset_sync) {RegInit(false.B)}
263ce80648bSyulightenyu    val flitpend = io.chi.rx.snp.flitpend | io.chi.rx.rsp.flitpend | io.chi.rx.dat.flitpend
264*862747dbSzhaohong1988    wfiState := withClockAndReset(clock, cpuReset_sync){WfiStateNext(wfiState, isWFI, isNormal, flitpend, intSrc)}
2654d7fbe77Syulightenyu
2664d7fbe77Syulightenyu    if (WFIClockGate) {
2674d7fbe77Syulightenyu      wfiGateClock := (wfiState === sGCLOCK)
2684d7fbe77Syulightenyu    }else {
2694d7fbe77Syulightenyu      wfiGateClock := false.B
2704d7fbe77Syulightenyu    }
2714d7fbe77Syulightenyu
2724d7fbe77Syulightenyu
2734d7fbe77Syulightenyu
2744d7fbe77Syulightenyu    /* during power down sequence, SoC reset will gate clock */
275*862747dbSzhaohong1988    val pwrdownGateClock = withClockAndReset(clock, cpuReset_sync.asAsyncReset) {RegInit(false.B)}
2764d7fbe77Syulightenyu    pwrdownGateClock := !soc_rst_n && lpState === sPOFFREQ
2774d7fbe77Syulightenyu    /*
2784d7fbe77Syulightenyu     physical power off handshake:
2794d7fbe77Syulightenyu     i_cpu_pwrdown_req_n
2804d7fbe77Syulightenyu     o_cpu_pwrdown_ack_n means all power is safely on
2814d7fbe77Syulightenyu     */
2824d7fbe77Syulightenyu    val soc_pwrdown_n = io.lp.map(_.i_cpu_pwrdown_req_n).getOrElse(true.B)
2834d7fbe77Syulightenyu    io.lp.foreach { lp => lp.o_cpu_pwrdown_ack_n := core_with_l2.module.io.pwrdown_ack_n.getOrElse(true.B) }
2844d7fbe77Syulightenyu
2854d7fbe77Syulightenyu
2864d7fbe77Syulightenyu    /* Core+L2 hardware initial clock gating as:
2874d7fbe77Syulightenyu     1. Gate clock when SoC reset CPU with < io.i_cpu_sw_rst_n > valid
2884d7fbe77Syulightenyu     2. Gate clock when SoC is enable clock (Core+L2 in normal state) and core is in wfi state
2894d7fbe77Syulightenyu     3. Disable clock gate at the cycle of Flitpend valid in rx.snp channel
2904d7fbe77Syulightenyu     */
2914d7fbe77Syulightenyu    val cpuClockEn = !wfiGateClock && !pwrdownGateClock | io.chi.rx.snp.flitpend
2924d7fbe77Syulightenyu
2934d7fbe77Syulightenyu    dontTouch(wfiGateClock)
2944d7fbe77Syulightenyu    dontTouch(pwrdownGateClock)
2954d7fbe77Syulightenyu    dontTouch(cpuClockEn)
2964d7fbe77Syulightenyu
2974d7fbe77Syulightenyu    core_with_l2.module.clock := ClockGate(false.B, cpuClockEn, clock)
2984d7fbe77Syulightenyu    core_with_l2.module.reset := cpuReset.asAsyncReset
2997ff4ebdcSTang Haojin    core_with_l2.module.noc_reset.foreach(_ := noc_reset.get)
3007ff4ebdcSTang Haojin    core_with_l2.module.soc_reset := soc_reset
301720dd621STang Haojin    core_with_l2.module.io.hartId := io.hartId
302720dd621STang Haojin    core_with_l2.module.io.nodeID.get := io.nodeID
303720dd621STang Haojin    io.riscv_halt := core_with_l2.module.io.cpu_halt
30485a8d7caSZehao Liu    io.riscv_critical_error := core_with_l2.module.io.cpu_crtical_error
3053a3744e4Schengguanghui    core_with_l2.module.io.hartResetReq := io.hartResetReq
306b30cb8bfSGuanghui Cheng    io.hartIsInReset := core_with_l2.module.io.hartIsInReset
307720dd621STang Haojin    core_with_l2.module.io.reset_vector := io.riscv_rst_vec
308814aa9ecSyulightenyu    core_with_l2.module.io.iso_en.foreach { _ := io.lp.map(_.i_cpu_iso_en).getOrElse(false.B) }
309814aa9ecSyulightenyu    core_with_l2.module.io.pwrdown_req_n.foreach { _ := io.lp.map(_.i_cpu_pwrdown_req_n).getOrElse(true.B) }
3103ad9f3ddSchengguanghui    // trace Interface
3113ad9f3ddSchengguanghui    val traceInterface = core_with_l2.module.io.traceCoreInterface
3123ad9f3ddSchengguanghui    traceInterface.fromEncoder := io.traceCoreInterface.fromEncoder
3133ad9f3ddSchengguanghui    io.traceCoreInterface.toEncoder.priv := traceInterface.toEncoder.priv
3143ad9f3ddSchengguanghui    io.traceCoreInterface.toEncoder.cause := traceInterface.toEncoder.trap.cause
3153ad9f3ddSchengguanghui    io.traceCoreInterface.toEncoder.tval := traceInterface.toEncoder.trap.tval
3163ad9f3ddSchengguanghui    io.traceCoreInterface.toEncoder.iaddr := VecInit(traceInterface.toEncoder.groups.map(_.bits.iaddr)).asUInt
3173ad9f3ddSchengguanghui    io.traceCoreInterface.toEncoder.itype := VecInit(traceInterface.toEncoder.groups.map(_.bits.itype)).asUInt
3183ad9f3ddSchengguanghui    io.traceCoreInterface.toEncoder.iretire := VecInit(traceInterface.toEncoder.groups.map(_.bits.iretire)).asUInt
3193ad9f3ddSchengguanghui    io.traceCoreInterface.toEncoder.ilastsize := VecInit(traceInterface.toEncoder.groups.map(_.bits.ilastsize)).asUInt
3202f9ea954STang Haojin
321e2725c9eSzhanglinjuan    EnableClintAsyncBridge match {
322e2725c9eSzhanglinjuan      case Some(param) =>
3237ff4ebdcSTang Haojin        withClockAndReset(soc_clock, soc_reset_sync) {
3247ff4ebdcSTang Haojin          val source = Module(new AsyncQueueSource(UInt(64.W), param))
325e2725c9eSzhanglinjuan          source.io.enq.valid := io.clintTime.valid
326e2725c9eSzhanglinjuan          source.io.enq.bits := io.clintTime.bits
3277ff4ebdcSTang Haojin          core_with_l2.module.io.clintTime <> source.io.async
3287ff4ebdcSTang Haojin        }
329e2725c9eSzhanglinjuan      case None =>
3307ff4ebdcSTang Haojin        core_with_l2.module.io.clintTime <> io.clintTime
331e2725c9eSzhanglinjuan    }
332e2725c9eSzhanglinjuan
333e2725c9eSzhanglinjuan    EnableCHIAsyncBridge match {
334e2725c9eSzhanglinjuan      case Some(param) =>
3357ff4ebdcSTang Haojin        withClockAndReset(noc_clock.get, noc_reset_sync.get) {
3367ff4ebdcSTang Haojin          val sink = Module(new CHIAsyncBridgeSink(param))
3377ff4ebdcSTang Haojin          sink.io.async <> core_with_l2.module.io.chi
338e2725c9eSzhanglinjuan          io.chi <> sink.io.deq
3397ff4ebdcSTang Haojin        }
340e2725c9eSzhanglinjuan      case None =>
3417ff4ebdcSTang Haojin        io.chi <> core_with_l2.module.io.chi
342e2725c9eSzhanglinjuan    }
3436cb0b9a3SsinceforYy
3444a699e27Szhanglinjuan    // Seperate DebugModule TL Async Queue Sink
34516ae9ddcSTang Haojin    if (SeperateTLBus && EnableSeperateTLAsync) {
34616ae9ddcSTang Haojin      tlAsyncSinkOpt.get.module.clock := soc_clock
34716ae9ddcSTang Haojin      tlAsyncSinkOpt.get.module.reset := soc_reset_sync
3484a699e27Szhanglinjuan    }
3494a699e27Szhanglinjuan
3508cfc24b2STang Haojin    core_with_l2.module.io.msiInfo.valid := wrapper.u_imsic_bus_top.module.msiio.vld_req
3518cfc24b2STang Haojin    core_with_l2.module.io.msiInfo.bits := wrapper.u_imsic_bus_top.module.msiio.data
3528cfc24b2STang Haojin    wrapper.u_imsic_bus_top.module.msiio.vld_ack := core_with_l2.module.io.msiAck
353720dd621STang Haojin    // tie off core soft reset
354720dd621STang Haojin    core_rst_node.out.head._1 := false.B.asAsyncReset
355720dd621STang Haojin
356720dd621STang Haojin    core_with_l2.module.io.debugTopDown.l3MissMatch := false.B
357e836c770SZhaoyang You    core_with_l2.module.io.l3Miss := false.B
358720dd621STang Haojin  }
359720dd621STang Haojin
360720dd621STang Haojin  lazy val module = new XSNoCTopImp(this)
361720dd621STang Haojin}
362c33deca9Sklin02
363c33deca9Sklin02class XSNoCDiffTop(implicit p: Parameters) extends Module {
364c33deca9Sklin02  override val desiredName: String = "XSDiffTop"
365c33deca9Sklin02  val l_soc = LazyModule(new XSNoCTop())
366c33deca9Sklin02  val soc = Module(l_soc.module)
367c33deca9Sklin02
368c33deca9Sklin02  // Expose XSTop IOs outside, i.e. io
369c33deca9Sklin02  def exposeIO(data: Data, name: String): Unit = {
370c33deca9Sklin02    val dummy = IO(chiselTypeOf(data)).suggestName(name)
371c33deca9Sklin02    dummy <> data
372c33deca9Sklin02  }
373c33deca9Sklin02  def exposeOptionIO(data: Option[Data], name: String): Unit = {
374c33deca9Sklin02    if (data.isDefined) {
375c33deca9Sklin02      val dummy = IO(chiselTypeOf(data.get)).suggestName(name)
376c33deca9Sklin02      dummy <> data.get
377c33deca9Sklin02    }
378c33deca9Sklin02  }
379c33deca9Sklin02  exposeIO(l_soc.clint, "clint")
380c33deca9Sklin02  exposeIO(l_soc.debug, "debug")
381c33deca9Sklin02  exposeIO(l_soc.plic, "plic")
382c33deca9Sklin02  exposeIO(l_soc.beu, "beu")
383c33deca9Sklin02  exposeIO(l_soc.nmi, "nmi")
384c33deca9Sklin02  soc.clock := clock
385c33deca9Sklin02  soc.reset := reset.asAsyncReset
386c33deca9Sklin02  exposeIO(soc.soc_clock, "soc_clock")
387c33deca9Sklin02  exposeIO(soc.soc_reset, "soc_reset")
388c33deca9Sklin02  exposeIO(soc.io, "io")
389c33deca9Sklin02  exposeOptionIO(soc.noc_clock, "noc_clock")
390c33deca9Sklin02  exposeOptionIO(soc.noc_reset, "noc_reset")
3918cfc24b2STang Haojin  exposeOptionIO(soc.imsic_axi4, "imsic_axi4")
3928cfc24b2STang Haojin  exposeOptionIO(soc.imsic_m_tl, "imsic_m_tl")
3938cfc24b2STang Haojin  exposeOptionIO(soc.imsic_s_tl, "imsic_s_tl")
3948cfc24b2STang Haojin  exposeOptionIO(soc.imsic, "imsic")
395c33deca9Sklin02
396c33deca9Sklin02  // TODO:
397c33deca9Sklin02  // XSDiffTop is only part of DUT, we can not instantiate difftest here.
398c33deca9Sklin02  // Temporarily we collect Performance counters for each DiffTop, need control signals passed from Difftest
399c33deca9Sklin02  val timer = IO(Input(UInt(64.W)))
400c33deca9Sklin02  val logEnable = IO(Input(Bool()))
401c33deca9Sklin02  val clean = IO(Input(Bool()))
402c33deca9Sklin02  val dump = IO(Input(Bool()))
403c33deca9Sklin02  XSLog.collect(timer, logEnable, clean, dump)
404c33deca9Sklin02  DifftestWiring.createAndConnectExtraIOs()
405c33deca9Sklin02  Profile.generateJson("XiangShan")
406c33deca9Sklin02  XSNoCDiffTopChecker()
407c33deca9Sklin02}
408c33deca9Sklin02
409c33deca9Sklin02// TODO:
410c33deca9Sklin02// Currently we use two-step XiangShan-Difftest, generating XS(with Diff Interface only) and Difftest seperately
411c33deca9Sklin02// To avoid potential interface problem between XS and Diff, we add Checker and CI(dual-core)
412c33deca9Sklin02// We will try one-step XS-Diff later
413c33deca9Sklin02object XSNoCDiffTopChecker {
414c33deca9Sklin02  def apply(): Unit = {
415c33deca9Sklin02    val verilog =
416c33deca9Sklin02      """
417c33deca9Sklin02        |`define CONFIG_XSCORE_NR 2
418c33deca9Sklin02        |`include "gateway_interface.svh"
419c33deca9Sklin02        |module XSDiffTopChecker(
420c33deca9Sklin02        | input                                 cpu_clk,
421c33deca9Sklin02        | input                                 cpu_rstn,
422c33deca9Sklin02        | input                                 sys_clk,
423c33deca9Sklin02        | input                                 sys_rstn
424c33deca9Sklin02        |);
425c33deca9Sklin02        |wire [63:0] timer;
426c33deca9Sklin02        |wire logEnable;
427c33deca9Sklin02        |wire clean;
428c33deca9Sklin02        |wire dump;
429c33deca9Sklin02        |// FIXME: use siganls from Difftest rather than default value
430c33deca9Sklin02        |assign timer = 64'b0;
431c33deca9Sklin02        |assign logEnable = 1'b0;
432c33deca9Sklin02        |assign clean = 1'b0;
433c33deca9Sklin02        |assign dump = 1'b0;
434c33deca9Sklin02        |gateway_if gateway_if_i();
435c33deca9Sklin02        |core_if core_if_o[`CONFIG_XSCORE_NR]();
436c33deca9Sklin02        |generate
437c33deca9Sklin02        |    genvar i;
438c33deca9Sklin02        |    for (i = 0; i < `CONFIG_XSCORE_NR; i = i+1)
439c33deca9Sklin02        |    begin: u_CPU_TOP
440c33deca9Sklin02        |    // FIXME: add missing ports
441c33deca9Sklin02        |    XSDiffTop u_XSTop (
442c33deca9Sklin02        |        .clock                   (cpu_clk),
443c33deca9Sklin02        |        .noc_clock               (sys_clk),
444c33deca9Sklin02        |        .soc_clock               (sys_clk),
445c33deca9Sklin02        |        .io_hartId               (6'h0 + i),
446c33deca9Sklin02        |        .timer                   (timer),
447c33deca9Sklin02        |        .logEnable               (logEnable),
448c33deca9Sklin02        |        .clean                   (clean),
449c33deca9Sklin02        |        .dump                    (dump),
450c33deca9Sklin02        |        .gateway_out             (core_if_o[i])
451c33deca9Sklin02        |    );
452c33deca9Sklin02        |    end
453c33deca9Sklin02        |endgenerate
454c33deca9Sklin02        |    CoreToGateway u_CoreToGateway(
455c33deca9Sklin02        |    .gateway_out (gateway_if_i.out),
456c33deca9Sklin02        |    .core_in (core_if_o)
457c33deca9Sklin02        |    );
458c33deca9Sklin02        |    GatewayEndpoint u_GatewayEndpoint(
459c33deca9Sklin02        |    .clock (sys_clk),
460c33deca9Sklin02        |    .reset (sys_rstn),
461c33deca9Sklin02        |    .gateway_in (gateway_if_i.in),
462c33deca9Sklin02        |    .step ()
463c33deca9Sklin02        |    );
464c33deca9Sklin02        |
465c33deca9Sklin02        |endmodule
466c33deca9Sklin02      """.stripMargin
467c33deca9Sklin02    FileRegisters.writeOutputFile("./build", "XSDiffTopChecker.sv", verilog)
468c33deca9Sklin02  }
469c33deca9Sklin02}
470