xref: /XiangShan/src/test/scala/top/SimTop.scala (revision b665b65009f36cbe77ec1a1cb4246701d9cee88b)
1c6d43980SLemover/***************************************************************************************
2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory
4c6d43980SLemover*
5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2.
6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at:
8c6d43980SLemover*          http://license.coscl.org.cn/MulanPSL2
9c6d43980SLemover*
10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13c6d43980SLemover*
14c6d43980SLemover* See the Mulan PSL v2 for more details.
15c6d43980SLemover***************************************************************************************/
16c6d43980SLemover
172225d46eSJiawei Linpackage top
182225d46eSJiawei Lin
1988ca983fSYinan Xuimport chipsalliance.rocketchip.config.Parameters
202225d46eSJiawei Linimport chisel3._
2188ca983fSYinan Xuimport chisel3.util._
2271784e68SYinan Xuimport device.{AXI4MemorySlave, SimJTAG}
23a3e87608SWilliam Wangimport difftest._
2488ca983fSYinan Xuimport freechips.rocketchip.diplomacy.{DisableMonitors, LazyModule}
25876196b7SMaxpicca-Liimport utility.FileRegisters
263c02ee8fSwakafaimport utility.ChiselDB
273c02ee8fSwakafaimport utility.GTimer
2888ca983fSYinan Xuimport xiangshan.DebugOptionsKey
291ff67747SGuokai Chenimport utility.Constantin
302225d46eSJiawei Lin
312225d46eSJiawei Linclass SimTop(implicit p: Parameters) extends Module {
322225d46eSJiawei Lin  val debugOpts = p(DebugOptionsKey)
332225d46eSJiawei Lin
3473be64b3SJiawei Lin  val l_soc = LazyModule(new XSTop())
352225d46eSJiawei Lin  val soc = Module(l_soc.module)
363a62c537SYinan Xu  // Don't allow the top-level signals to be optimized out,
373a62c537SYinan Xu  // so that we can re-use this SimTop for any generated Verilog RTL.
383a62c537SYinan Xu  dontTouch(soc.io)
392225d46eSJiawei Lin
4098c71602SJiawei Lin  l_soc.module.dma <> 0.U.asTypeOf(l_soc.module.dma)
4173be64b3SJiawei Lin
4273be64b3SJiawei Lin  val l_simMMIO = LazyModule(new SimMMIO(l_soc.misc.peripheralNode.in.head._2))
432225d46eSJiawei Lin  val simMMIO = Module(l_simMMIO.module)
4473be64b3SJiawei Lin  l_simMMIO.io_axi4 <> soc.peripheral
452225d46eSJiawei Lin
4671784e68SYinan Xu  val l_simAXIMem = AXI4MemorySlave(
4771784e68SYinan Xu    l_soc.misc.memAXI4SlaveNode,
4871784e68SYinan Xu    16L * 1024 * 1024 * 1024,
4971784e68SYinan Xu    useBlackBox = true,
5071784e68SYinan Xu    dynamicLatency = debugOpts.UseDRAMSim
5171784e68SYinan Xu  )
522225d46eSJiawei Lin  val simAXIMem = Module(l_simAXIMem.module)
5373be64b3SJiawei Lin  l_simAXIMem.io_axi4 <> soc.memory
542225d46eSJiawei Lin
5573be64b3SJiawei Lin  soc.io.clock := clock.asBool
5667ba96b4SYinan Xu  soc.io.reset := reset.asAsyncReset
57b6a21a24SYinan Xu  soc.io.extIntrs := simMMIO.io.interrupt.intrVec
588130d625Srvcoresjw  soc.io.sram_config := 0.U
5998c71602SJiawei Lin  soc.io.pll0_lock := true.B
6098c71602SJiawei Lin  soc.io.cacheable_check := DontCare
61c4b44470SGuokai Chen  soc.io.riscv_rst_vec.foreach(_ := 0x10000000L.U)
6288ca983fSYinan Xu
6388ca983fSYinan Xu  // soc.io.rtc_clock is a div100 of soc.io.clock
649e56439dSHazard  val rtcClockDiv = 100
6588ca983fSYinan Xu  val rtcTickCycle = rtcClockDiv / 2
6688ca983fSYinan Xu  val rtcCounter = RegInit(0.U(log2Ceil(rtcTickCycle + 1).W))
6788ca983fSYinan Xu  rtcCounter := Mux(rtcCounter === (rtcTickCycle - 1).U, 0.U, rtcCounter + 1.U)
6888ca983fSYinan Xu  val rtcClock = RegInit(false.B)
6988ca983fSYinan Xu  when (rtcCounter === 0.U) {
7088ca983fSYinan Xu    rtcClock := ~rtcClock
7188ca983fSYinan Xu  }
7288ca983fSYinan Xu  soc.io.rtc_clock := rtcClock
732225d46eSJiawei Lin
74d4aca96cSlqre  val success = Wire(Bool())
75cc358710SLinJiawei  val jtag = Module(new SimJTAG(tickDelay=3)(p)).connect(soc.io.systemjtag.jtag, clock, reset.asBool, !reset.asBool, success)
7667ba96b4SYinan Xu  soc.io.systemjtag.reset := reset.asAsyncReset
77d4aca96cSlqre  soc.io.systemjtag.mfr_id := 0.U(11.W)
78d4aca96cSlqre  soc.io.systemjtag.part_number := 0.U(16.W)
79d4aca96cSlqre  soc.io.systemjtag.version := 0.U(4.W)
80d4aca96cSlqre
812225d46eSJiawei Lin  val io = IO(new Bundle(){
822225d46eSJiawei Lin    val logCtrl = new LogCtrlIO
832225d46eSJiawei Lin    val perfInfo = new PerfInfoIO
842225d46eSJiawei Lin    val uart = new UARTIO
852225d46eSJiawei Lin  })
862225d46eSJiawei Lin
872225d46eSJiawei Lin  simMMIO.io.uart <> io.uart
882225d46eSJiawei Lin
891545277aSYinan Xu  if (!debugOpts.FPGAPlatform && (debugOpts.EnableDebug || debugOpts.EnablePerfDebug)) {
902225d46eSJiawei Lin    val timer = GTimer()
912225d46eSJiawei Lin    val logEnable = (timer >= io.logCtrl.log_begin) && (timer < io.logCtrl.log_end)
922225d46eSJiawei Lin    ExcitingUtils.addSource(logEnable, "DISPLAY_LOG_ENABLE")
932225d46eSJiawei Lin    ExcitingUtils.addSource(timer, "logTimestamp")
942225d46eSJiawei Lin  }
952225d46eSJiawei Lin
961545277aSYinan Xu  if (!debugOpts.FPGAPlatform && debugOpts.EnablePerfDebug) {
972225d46eSJiawei Lin    val clean = io.perfInfo.clean
982225d46eSJiawei Lin    val dump = io.perfInfo.dump
992225d46eSJiawei Lin    ExcitingUtils.addSource(clean, "XSPERF_CLEAN")
1002225d46eSJiawei Lin    ExcitingUtils.addSource(dump, "XSPERF_DUMP")
1012225d46eSJiawei Lin  }
1022225d46eSJiawei Lin
1032225d46eSJiawei Lin  // Check and dispaly all source and sink connections
1042225d46eSJiawei Lin  ExcitingUtils.fixConnections()
1052225d46eSJiawei Lin  ExcitingUtils.checkAndDisplay()
1062225d46eSJiawei Lin}
1072225d46eSJiawei Lin
1082225d46eSJiawei Linobject SimTop extends App {
1092225d46eSJiawei Lin  override def main(args: Array[String]): Unit = {
1101545277aSYinan Xu    // Keep this the same as TopMain except that SimTop is used here instead of XSTop
111*b665b650STang Haojin    val (config, firrtlOpts, firrtlComplier, firtoolOpts) = ArgParser.parse(args)
11293610df3SMaxpicca-Li
11393610df3SMaxpicca-Li    // tools: init to close dpi-c when in fpga
11493610df3SMaxpicca-Li    val envInFPGA = config(DebugOptionsKey).FPGAPlatform
11593610df3SMaxpicca-Li    Constantin.init(envInFPGA)
11693610df3SMaxpicca-Li    ChiselDB.init(envInFPGA)
11793610df3SMaxpicca-Li
118cc358710SLinJiawei    Generator.execute(
119cc358710SLinJiawei      firrtlOpts,
120cc358710SLinJiawei      DisableMonitors(p => new SimTop()(p))(config),
121*b665b650STang Haojin      firrtlComplier,
122*b665b650STang Haojin      firtoolOpts
123cc358710SLinJiawei    )
12493610df3SMaxpicca-Li
12593610df3SMaxpicca-Li    // tools: write cpp files
126876196b7SMaxpicca-Li    ChiselDB.addToFileRegisters
127876196b7SMaxpicca-Li    Constantin.addToFileRegisters
128876196b7SMaxpicca-Li    FileRegisters.write(fileDir = "./build")
1292225d46eSJiawei Lin  }
1302225d46eSJiawei Lin}
131