1c6d43980SLemover/*************************************************************************************** 22993c5ecSHaojin Tang* Copyright (c) 2024 Beijing Institute of Open Source Chip (BOSC) 32993c5ecSHaojin Tang* Copyright (c) 2020-2024 Institute of Computing Technology, Chinese Academy of Sciences 4f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 5c6d43980SLemover* 6c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 7c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 8c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 9c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 10c6d43980SLemover* 11c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 12c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 13c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 14c6d43980SLemover* 15c6d43980SLemover* See the Mulan PSL v2 for more details. 16c6d43980SLemover***************************************************************************************/ 17c6d43980SLemover 182225d46eSJiawei Linpackage top 192225d46eSJiawei Lin 208891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters 212225d46eSJiawei Linimport chisel3._ 2288ca983fSYinan Xuimport chisel3.util._ 232993c5ecSHaojin Tangimport chisel3.experimental.dataview._ 2471784e68SYinan Xuimport device.{AXI4MemorySlave, SimJTAG} 25a3e87608SWilliam Wangimport difftest._ 262993c5ecSHaojin Tangimport freechips.rocketchip.amba.axi4.AXI4Bundle 2788ca983fSYinan Xuimport freechips.rocketchip.diplomacy.{DisableMonitors, LazyModule} 288bc90631SZehao Liuimport freechips.rocketchip.util.HeterogeneousBag 2912c5a998Sklin02import utility.{ChiselDB, Constantin, FileRegisters, GTimer, XSLog} 3088ca983fSYinan Xuimport xiangshan.DebugOptionsKey 31bbe4506dSTang Haojinimport system.SoCParamsKey 322225d46eSJiawei Lin 332225d46eSJiawei Linclass SimTop(implicit p: Parameters) extends Module { 342225d46eSJiawei Lin val debugOpts = p(DebugOptionsKey) 352225d46eSJiawei Lin 3673be64b3SJiawei Lin val l_soc = LazyModule(new XSTop()) 372225d46eSJiawei Lin val soc = Module(l_soc.module) 383a62c537SYinan Xu // Don't allow the top-level signals to be optimized out, 393a62c537SYinan Xu // so that we can re-use this SimTop for any generated Verilog RTL. 403a62c537SYinan Xu dontTouch(soc.io) 412225d46eSJiawei Lin 4278a8cd25Szhanglinjuan if (!l_soc.module.dma.isEmpty) { 43ae0295f4STang Haojin l_soc.module.dma.get <> WireDefault(0.U.asTypeOf(l_soc.module.dma.get)) 4478a8cd25Szhanglinjuan } 4573be64b3SJiawei Lin 46bbe4506dSTang Haojin val l_simMMIO = LazyModule(new SimMMIO(l_soc.misc.peripheralNode.in.head._2)(p.alter((site, here, up) => { 47bbe4506dSTang Haojin case SoCParamsKey => up(SoCParamsKey).copy(UARTLiteForDTS = false) 48bbe4506dSTang Haojin }))) 492225d46eSJiawei Lin val simMMIO = Module(l_simMMIO.module) 501bf9a05aSzhanglinjuan l_simMMIO.io_axi4.elements.head._2 <> soc.peripheral.viewAs[AXI4Bundle] 512225d46eSJiawei Lin 5271784e68SYinan Xu val l_simAXIMem = AXI4MemorySlave( 5371784e68SYinan Xu l_soc.misc.memAXI4SlaveNode, 54fab8b274SHaoyuan Feng 8190L * 1024 * 1024 * 1024, 5571784e68SYinan Xu useBlackBox = true, 5671784e68SYinan Xu dynamicLatency = debugOpts.UseDRAMSim 5771784e68SYinan Xu ) 582225d46eSJiawei Lin val simAXIMem = Module(l_simAXIMem.module) 592993c5ecSHaojin Tang l_simAXIMem.io_axi4.elements.head._2 :<>= soc.memory.viewAs[AXI4Bundle].waiveAll 602225d46eSJiawei Lin 61*20957846SZihao Yu soc.io.clock := clock 62f7af4c74Schengguanghui soc.io.reset := (reset.asBool || soc.io.debug_reset).asAsyncReset 63b6a21a24SYinan Xu soc.io.extIntrs := simMMIO.io.interrupt.intrVec 648130d625Srvcoresjw soc.io.sram_config := 0.U 6598c71602SJiawei Lin soc.io.pll0_lock := true.B 6698c71602SJiawei Lin soc.io.cacheable_check := DontCare 67c4b44470SGuokai Chen soc.io.riscv_rst_vec.foreach(_ := 0x10000000L.U) 688bc90631SZehao Liu l_soc.nmi.foreach(_.foreach(intr => { intr := false.B; dontTouch(intr) })) 69725e8ddcSchengguanghui soc.io.traceCoreInterface.foreach(_.fromEncoder.enable := false.B) 70725e8ddcSchengguanghui soc.io.traceCoreInterface.foreach(_.fromEncoder.stall := false.B) 7188ca983fSYinan Xu 7288ca983fSYinan Xu // soc.io.rtc_clock is a div100 of soc.io.clock 739e56439dSHazard val rtcClockDiv = 100 7488ca983fSYinan Xu val rtcTickCycle = rtcClockDiv / 2 7588ca983fSYinan Xu val rtcCounter = RegInit(0.U(log2Ceil(rtcTickCycle + 1).W)) 7688ca983fSYinan Xu rtcCounter := Mux(rtcCounter === (rtcTickCycle - 1).U, 0.U, rtcCounter + 1.U) 7788ca983fSYinan Xu val rtcClock = RegInit(false.B) 7888ca983fSYinan Xu when (rtcCounter === 0.U) { 7988ca983fSYinan Xu rtcClock := ~rtcClock 8088ca983fSYinan Xu } 8188ca983fSYinan Xu soc.io.rtc_clock := rtcClock 822225d46eSJiawei Lin 83d4aca96cSlqre val success = Wire(Bool()) 84f7af4c74Schengguanghui val jtag = Module(new SimJTAG(tickDelay = 3)(p)) 85f7af4c74Schengguanghui jtag.connect(soc.io.systemjtag.jtag, clock, reset.asBool, !reset.asBool, success) 8667ba96b4SYinan Xu soc.io.systemjtag.reset := reset.asAsyncReset 87d4aca96cSlqre soc.io.systemjtag.mfr_id := 0.U(11.W) 88d4aca96cSlqre soc.io.systemjtag.part_number := 0.U(16.W) 89d4aca96cSlqre soc.io.systemjtag.version := 0.U(4.W) 90d4aca96cSlqre 91fad48058SYinan Xu val difftest = DifftestModule.finish("XiangShan") 922225d46eSJiawei Lin 93fad48058SYinan Xu simMMIO.io.uart <> difftest.uart 942225d46eSJiawei Lin 95fad48058SYinan Xu val hasPerf = !debugOpts.FPGAPlatform && debugOpts.EnablePerfDebug 96fad48058SYinan Xu val hasLog = !debugOpts.FPGAPlatform && debugOpts.EnableDebug 97fad48058SYinan Xu val hasPerfLog = hasPerf || hasLog 98fad48058SYinan Xu val timer = if (hasPerfLog) GTimer() else WireDefault(0.U(64.W)) 99fad48058SYinan Xu val logEnable = if (hasPerfLog) WireDefault(difftest.logCtrl.enable(timer)) else WireDefault(false.B) 100fad48058SYinan Xu val clean = if (hasPerf) WireDefault(difftest.perfCtrl.clean) else WireDefault(false.B) 101fad48058SYinan Xu val dump = if (hasPerf) WireDefault(difftest.perfCtrl.dump) else WireDefault(false.B) 1022225d46eSJiawei Lin 10312c5a998Sklin02 XSLog.collect(timer, logEnable, clean, dump) 1042225d46eSJiawei Lin} 1052225d46eSJiawei Lin 1062225d46eSJiawei Linobject SimTop extends App { 1071545277aSYinan Xu // Keep this the same as TopMain except that SimTop is used here instead of XSTop 10851e45dbbSTang Haojin val (config, firrtlOpts, firtoolOpts) = ArgParser.parse(args) 10993610df3SMaxpicca-Li 11093610df3SMaxpicca-Li // tools: init to close dpi-c when in fpga 11193610df3SMaxpicca-Li val envInFPGA = config(DebugOptionsKey).FPGAPlatform 11262129679Swakafa val enableChiselDB = config(DebugOptionsKey).EnableChiselDB 113047e34f9SMaxpicca-Li val enableConstantin = config(DebugOptionsKey).EnableConstantin 114047e34f9SMaxpicca-Li Constantin.init(enableConstantin && !envInFPGA) 11562129679Swakafa ChiselDB.init(enableChiselDB && !envInFPGA) 11693610df3SMaxpicca-Li 117cc358710SLinJiawei Generator.execute( 118cc358710SLinJiawei firrtlOpts, 119cc358710SLinJiawei DisableMonitors(p => new SimTop()(p))(config), 120b665b650STang Haojin firtoolOpts 121cc358710SLinJiawei ) 12293610df3SMaxpicca-Li 12393610df3SMaxpicca-Li // tools: write cpp files 124876196b7SMaxpicca-Li ChiselDB.addToFileRegisters 125876196b7SMaxpicca-Li Constantin.addToFileRegisters 126876196b7SMaxpicca-Li FileRegisters.write(fileDir = "./build") 1272225d46eSJiawei Lin} 128