xref: /XiangShan/src/main/scala/xiangshan/mem/vector/VecBundle.scala (revision ebb914e7007fa76b83a869685cc5c3efe1f867e9)
1/***************************************************************************************
2  * Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3  * Copyright (c) 2020-2021 Peng Cheng Laboratory
4  *
5  * XiangShan is licensed under Mulan PSL v2.
6  * You can use this software according to the terms and conditions of the Mulan PSL v2.
7  * You may obtain a copy of Mulan PSL v2 at:
8  *          http://license.coscl.org.cn/MulanPSL2
9  *
10  * THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11  * EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12  * MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13  *
14  * See the Mulan PSL v2 for more details.
15  ***************************************************************************************/
16
17package xiangshan.mem
18
19import org.chipsalliance.cde.config.Parameters
20import chisel3._
21import chisel3.util._
22import utils._
23import utility._
24import xiangshan._
25import xiangshan.backend.Bundles._
26import xiangshan.backend.rob.RobPtr
27
28class VLSBundle(isVStore: Boolean=false)(implicit p: Parameters) extends VLSUBundle {
29  val flowMask            = UInt(VLENB.W) // each bit for a flow
30  val byteMask            = UInt(VLENB.W) // each bit for a byte
31  val data                = UInt(VLEN.W)
32  // val fof            = Bool() // fof is only used for vector loads
33  val excp_eew_index      = UInt(elemIdxBits.W)
34  // val exceptionVec   = ExceptionVec() // uop has exceptionVec
35  val baseAddr            = UInt(VAddrBits.W)
36  val stride              = UInt(VLEN.W)
37  // val flow_counter = UInt(flowIdxBits.W)
38
39  // instruction decode result
40  val flowNum             = UInt(flowIdxBits.W) // # of flows in a uop
41  // val flowNumLog2 = UInt(log2Up(flowIdxBits).W) // log2(flowNum), for better timing of multiplication
42  val nfields             = UInt(fieldBits.W) // NFIELDS
43  val vm                  = Bool() // whether vector masking is enabled
44  val usWholeReg          = Bool() // unit-stride, whole register load
45  val usMaskReg           = Bool() // unit-stride, masked store/load
46  val eew                 = UInt(ewBits.W) // size of memory elements
47  val sew                 = UInt(ewBits.W)
48  val emul                = UInt(mulBits.W)
49  val lmul                = UInt(mulBits.W)
50  val vlmax               = UInt(elemIdxBits.W)
51  val instType            = UInt(3.W)
52  val vd_last_uop         = Bool()
53  val vd_first_uop        = Bool()
54  // Inst's uop
55  val uop                 = new DynInst
56
57  val fof                 = Bool()
58  val vdIdxInField        = UInt(log2Up(maxMUL).W)
59  val uopOffset           = UInt(VLEN.W)
60  val preIsSplit          = Bool() // if uop need split, only not Unit-Stride or not 128bit-aligned unit stride need split
61  val mBIndex             = if(isVStore) UInt(vsmBindexBits.W) else UInt(vlmBindexBits.W)
62
63  val alignedType         = UInt(alignTypeBits.W)
64}
65
66object VSFQFeedbackType {
67  val tlbMiss = 0.U(3.W)
68  val mshrFull = 1.U(3.W)
69  val dataInvalid = 2.U(3.W)
70  val bankConflict = 3.U(3.W)
71  val ldVioCheckRedo = 4.U(3.W)
72  val feedbackInvalid = 7.U(3.W)
73
74  def apply() = UInt(3.W)
75}
76
77class VSFQFeedback (implicit p: Parameters) extends XSBundle {
78  // val flowPtr = new VsFlowPtr
79  val hit   = Bool()
80  //val flushState = Bool()
81  val sourceType = VSFQFeedbackType()
82  //val dataInvalidSqIdx = new SqPtr
83  val paddr = UInt(PAddrBits.W)
84  val mmio = Bool()
85  val atomic = Bool()
86  val exceptionVec = ExceptionVec()
87}
88
89class VecPipelineFeedbackIO(isVStore: Boolean=false) (implicit p: Parameters) extends VLSUBundle {
90  val mBIndex              = if(isVStore) UInt(vsmBindexBits.W) else UInt(vlmBindexBits.W)
91  val hit                  = Bool()
92  val isvec                = Bool()
93  val flushState           = Bool()
94  val sourceType           = VSFQFeedbackType()
95  //val dataInvalidSqIdx = new SqPtr
96  //val paddr                = UInt(PAddrBits.W)
97  val mmio                 = Bool()
98  //val atomic               = Bool()
99  val exceptionVec         = ExceptionVec()
100  //val vec                  = new OnlyVecExuOutput
101   // feedback
102  val vecFeedback          = Bool()
103
104  val usSecondInv          = Bool() // only for unit stride, second flow is Invalid
105  // for load
106  val reg_offset           = OptionWrapper(!isVStore, UInt(vOffsetBits.W))
107  val elemIdx              = OptionWrapper(!isVStore, UInt(elemIdxBits.W)) // element index
108  val elemIdxInsideVd      = OptionWrapper(!isVStore, UInt(elemIdxBits.W)) // element index in scope of vd
109  val vecdata              = OptionWrapper(!isVStore, UInt(VLEN.W))
110  val mask                 = OptionWrapper(!isVStore, UInt(VLENB.W))
111  val alignedType          = OptionWrapper(!isVStore, UInt(alignTypeBits.W))
112}
113
114class VecPipeBundle(isVStore: Boolean=false)(implicit p: Parameters) extends VLSUBundle {
115  val vaddr               = UInt(VAddrBits.W)
116  val mask                = UInt(VLENB.W)
117  val isvec               = Bool()
118  val uop_unit_stride_fof = Bool()
119  val reg_offset          = UInt(vOffsetBits.W)
120  val alignedType         = UInt(alignTypeBits.W)
121  val vecActive           = Bool() // 1: vector active element, 0: vector not active element
122  val is_first_ele        = Bool()
123  val isFirstIssue        = Bool()
124
125  val uop = new DynInst
126
127  val usSecondInv         = Bool() // only for unit stride, second flow is Invalid
128  val mBIndex             = if(isVStore) UInt(vsmBindexBits.W) else UInt(vlmBindexBits.W)
129  val elemIdx             = UInt(elemIdxBits.W)
130}
131
132object VecFeedbacks {
133  // need to invalid lsq entry
134  val FLUSH  = 0
135  // merge buffer commits one uop
136  val COMMIT  = 1
137  // last uop of an inst, sq can commit
138  val LAST = 2
139  // total feedbacks
140  val allFeedbacks = 3
141}
142
143class MergeBufferReq(isVStore: Boolean=false)(implicit p: Parameters) extends VLSUBundle{
144  val mask                = UInt(VLENB.W)
145  val vaddr               = UInt(VAddrBits.W)
146  val flowNum             = UInt(flowIdxBits.W)
147  val uop                 = new DynInst
148  val data                = UInt(VLEN.W)
149  // val vdOffset            = UInt(vdOffset.W)
150}
151
152class MergeBufferResp(isVStore: Boolean=false)(implicit p: Parameters) extends VLSUBundle{
153  val mBIndex             = if(isVStore) UInt(vsmBindexBits.W) else UInt(vlmBindexBits.W)
154  val fail                = Bool()
155}
156
157class ToMergeBufferIO(isVStore: Boolean=false)(implicit p: Parameters) extends VLSUBundle{
158  val req                 = DecoupledIO(new MergeBufferReq(isVStore))
159  val resp                = Flipped(ValidIO(new MergeBufferResp(isVStore)))
160  // val issueInactive       = ValidIO
161}
162
163class FromSplitIO(isVStore: Boolean=false)(implicit p: Parameters) extends VLSUBundle{
164  val req                 = Flipped(DecoupledIO(new MergeBufferReq(isVStore)))
165  val resp                = ValidIO(new MergeBufferResp(isVStore))
166  // val issueInactive       = Flipped(ValidIO())
167}
168
169class FeedbackToSplitIO(implicit p: Parameters) extends VLSUBundle{
170  val elemWriteback       = Bool()
171}
172
173class FeedbackToLsqIO(implicit p: Parameters) extends VLSUBundle{
174  val robidx = new RobPtr
175  val uopidx = UopIdx()
176  val vaddr = UInt(VAddrBits.W)
177  val feedback = Vec(VecFeedbacks.allFeedbacks, Bool())
178
179  def isFlush  = feedback(VecFeedbacks.FLUSH)
180  def isCommit = feedback(VecFeedbacks.COMMIT)
181  def isLast = feedback(VecFeedbacks.LAST)
182}
183
184class VSplitIO(isVStore: Boolean=false)(implicit p: Parameters) extends VLSUBundle{
185  val redirect            = Flipped(ValidIO(new Redirect))
186  val in                  = if(isVStore) Flipped(Decoupled(new MemExuInput(isVector = true))) else Flipped(Decoupled(new MemExuInput(isVector = true))) // from iq
187  val toMergeBuffer       = new ToMergeBufferIO(isVStore) //to merge buffer req mergebuffer entry
188  val out                 = Decoupled(new VecPipeBundle(isVStore))// to scala pipeline
189  val vstd                = OptionWrapper(isVStore, Valid(new MemExuOutput(isVector = true)))
190}
191
192class VSplitPipelineIO(isVStore: Boolean=false)(implicit p: Parameters) extends VLSUBundle{
193  val redirect            = Flipped(ValidIO(new Redirect))
194  val in                  = Flipped(Decoupled(new MemExuInput(isVector = true)))
195  val toMergeBuffer       = new ToMergeBufferIO(isVStore) // req mergebuffer entry, inactive elem issue
196  val out                 = Decoupled(new VLSBundle())// to split buffer
197}
198
199class VSplitBufferIO(isVStore: Boolean=false)(implicit p: Parameters) extends VLSUBundle{
200  val redirect            = Flipped(ValidIO(new Redirect))
201  val in                  = Flipped(Decoupled(new VLSBundle()))
202  val out                 = Decoupled(new VecPipeBundle(isVStore))//to scala pipeline
203  val vstd                = OptionWrapper(isVStore, ValidIO(new MemExuOutput(isVector = true)))
204}
205
206class VMergeBufferIO(isVStore : Boolean=false)(implicit p: Parameters) extends VLSUBundle{
207  val redirect            = Flipped(ValidIO(new Redirect))
208  val fromPipeline        = if(isVStore) Vec(VecStorePipelineWidth, Flipped(DecoupledIO(new VecPipelineFeedbackIO(isVStore)))) else Vec(VecLoadPipelineWidth, Flipped(DecoupledIO(new VecPipelineFeedbackIO(isVStore))))
209  val fromSplit           = if(isVStore) Vec(VecStorePipelineWidth, new FromSplitIO) else Vec(VecLoadPipelineWidth, new FromSplitIO) // req mergebuffer entry, inactive elem issue
210  val uopWriteback        = Vec(UopWritebackWidth, DecoupledIO(new MemExuOutput(isVector = true)))
211  val toSplit             = if(isVStore) Vec(VecStorePipelineWidth, ValidIO(new FeedbackToSplitIO)) else Vec(VecLoadPipelineWidth, ValidIO(new FeedbackToSplitIO)) // for inorder inst
212  val toLsq               = Vec(UopWritebackWidth, ValidIO(new FeedbackToLsqIO)) // for lsq deq
213  val feedback            = Vec(UopWritebackWidth, ValidIO(new RSFeedback))//for rs replay
214}