1package xiangshan.mem.prefetch 2 3import chipsalliance.rocketchip.config.Parameters 4import chisel3._ 5import chisel3.util._ 6import xiangshan._ 7import utils._ 8import utility._ 9import xiangshan.cache.HasDCacheParameters 10import xiangshan.cache.mmu._ 11import xiangshan.mem.L1PrefetchReq 12import xiangshan.mem.trace._ 13 14case class SMSParams 15( 16 region_size: Int = 1024, 17 vaddr_hash_width: Int = 5, 18 block_addr_raw_width: Int = 10, 19 stride_pc_bits: Int = 10, 20 max_stride: Int = 1024, 21 stride_entries: Int = 16, 22 active_gen_table_size: Int = 16, 23 pht_size: Int = 64, 24 pht_ways: Int = 2, 25 pht_hist_bits: Int = 2, 26 pht_tag_bits: Int = 13, 27 pht_lookup_queue_size: Int = 4, 28 pf_filter_size: Int = 16 29) extends PrefetcherParams 30 31trait HasSMSModuleHelper extends HasCircularQueuePtrHelper with HasDCacheParameters 32{ this: HasXSParameter => 33 val smsParams = coreParams.prefetcher.get.asInstanceOf[SMSParams] 34 val BLK_ADDR_WIDTH = VAddrBits - log2Up(dcacheParameters.blockBytes) 35 val REGION_SIZE = smsParams.region_size 36 val REGION_BLKS = smsParams.region_size / dcacheParameters.blockBytes 37 val REGION_ADDR_BITS = VAddrBits - log2Up(REGION_SIZE) 38 val REGION_OFFSET = log2Up(REGION_BLKS) 39 val VADDR_HASH_WIDTH = smsParams.vaddr_hash_width 40 val BLK_ADDR_RAW_WIDTH = smsParams.block_addr_raw_width 41 val REGION_ADDR_RAW_WIDTH = BLK_ADDR_RAW_WIDTH - REGION_OFFSET 42 val BLK_TAG_WIDTH = BLK_ADDR_RAW_WIDTH + VADDR_HASH_WIDTH 43 val REGION_TAG_WIDTH = REGION_ADDR_RAW_WIDTH + VADDR_HASH_WIDTH 44 val PHT_INDEX_BITS = log2Up(smsParams.pht_size / smsParams.pht_ways) 45 val PHT_TAG_BITS = smsParams.pht_tag_bits 46 val PHT_HIST_BITS = smsParams.pht_hist_bits 47 // page bit index in block addr 48 val BLOCK_ADDR_PAGE_BIT = log2Up(dcacheParameters.pageSize / dcacheParameters.blockBytes) 49 val REGION_ADDR_PAGE_BIT = log2Up(dcacheParameters.pageSize / smsParams.region_size) 50 val STRIDE_PC_BITS = smsParams.stride_pc_bits 51 val STRIDE_BLK_ADDR_BITS = log2Up(smsParams.max_stride) 52 53 def block_addr(x: UInt): UInt = { 54 val offset = log2Up(dcacheParameters.blockBytes) 55 x(x.getWidth - 1, offset) 56 } 57 58 def region_addr(x: UInt): UInt = { 59 val offset = log2Up(REGION_SIZE) 60 x(x.getWidth - 1, offset) 61 } 62 63 def region_offset_to_bits(off: UInt): UInt = { 64 (1.U << off).asUInt 65 } 66 67 def region_hash_tag(rg_addr: UInt): UInt = { 68 val low = rg_addr(REGION_ADDR_RAW_WIDTH - 1, 0) 69 val high = rg_addr(REGION_ADDR_RAW_WIDTH + 3 * VADDR_HASH_WIDTH - 1, REGION_ADDR_RAW_WIDTH) 70 val high_hash = vaddr_hash(high) 71 Cat(high_hash, low) 72 } 73 74 def page_bit(region_addr: UInt): UInt = { 75 region_addr(log2Up(dcacheParameters.pageSize/REGION_SIZE)) 76 } 77 78 def block_hash_tag(x: UInt): UInt = { 79 val blk_addr = block_addr(x) 80 val low = blk_addr(BLK_ADDR_RAW_WIDTH - 1, 0) 81 val high = blk_addr(BLK_ADDR_RAW_WIDTH - 1 + 3 * VADDR_HASH_WIDTH, BLK_ADDR_RAW_WIDTH) 82 val high_hash = vaddr_hash(high) 83 Cat(high_hash, low) 84 } 85 86 def vaddr_hash(x: UInt): UInt = { 87 val width = VADDR_HASH_WIDTH 88 val low = x(width - 1, 0) 89 val mid = x(2 * width - 1, width) 90 val high = x(3 * width - 1, 2 * width) 91 low ^ mid ^ high 92 } 93 94 def pht_index(pc: UInt): UInt = { 95 val low_bits = pc(PHT_INDEX_BITS, 2) 96 val hi_bit = pc(1) ^ pc(PHT_INDEX_BITS+1) 97 Cat(hi_bit, low_bits) 98 } 99 100 def pht_tag(pc: UInt): UInt = { 101 pc(PHT_INDEX_BITS + 2 + PHT_TAG_BITS - 1, PHT_INDEX_BITS + 2) 102 } 103 104 def get_alias_bits(region_vaddr: UInt): UInt = region_vaddr(7, 6) 105} 106 107class StridePF()(implicit p: Parameters) extends XSModule with HasSMSModuleHelper { 108 val io = IO(new Bundle() { 109 val stride_en = Input(Bool()) 110 val s0_lookup = Flipped(new ValidIO(new Bundle() { 111 val pc = UInt(STRIDE_PC_BITS.W) 112 val vaddr = UInt(VAddrBits.W) 113 val paddr = UInt(PAddrBits.W) 114 })) 115 val s1_valid = Input(Bool()) 116 val s2_gen_req = ValidIO(new PfGenReq()) 117 }) 118 119 val prev_valid = RegNext(io.s0_lookup.valid, false.B) 120 val prev_pc = RegEnable(io.s0_lookup.bits.pc, io.s0_lookup.valid) 121 122 val s0_valid = io.s0_lookup.valid && !(prev_valid && prev_pc === io.s0_lookup.bits.pc) 123 124 def entry_map[T](fn: Int => T) = (0 until smsParams.stride_entries).map(fn) 125 126 val replacement = ReplacementPolicy.fromString("plru", smsParams.stride_entries) 127 val valids = entry_map(_ => RegInit(false.B)) 128 val entries_pc = entry_map(_ => Reg(UInt(STRIDE_PC_BITS.W)) ) 129 val entries_conf = entry_map(_ => RegInit(1.U(2.W))) 130 val entries_last_addr = entry_map(_ => Reg(UInt(STRIDE_BLK_ADDR_BITS.W)) ) 131 val entries_stride = entry_map(_ => Reg(SInt((STRIDE_BLK_ADDR_BITS+1).W))) 132 133 134 val s0_match_vec = valids.zip(entries_pc).map({ 135 case (v, pc) => v && pc === io.s0_lookup.bits.pc 136 }) 137 138 val s0_hit = s0_valid && Cat(s0_match_vec).orR 139 val s0_miss = s0_valid && !s0_hit 140 val s0_matched_conf = Mux1H(s0_match_vec, entries_conf) 141 val s0_matched_last_addr = Mux1H(s0_match_vec, entries_last_addr) 142 val s0_matched_last_stride = Mux1H(s0_match_vec, entries_stride) 143 144 145 val s1_vaddr = RegEnable(io.s0_lookup.bits.vaddr, s0_valid) 146 val s1_paddr = RegEnable(io.s0_lookup.bits.paddr, s0_valid) 147 val s1_hit = RegNext(s0_hit) && io.s1_valid 148 val s1_alloc = RegNext(s0_miss) && io.s1_valid 149 val s1_conf = RegNext(s0_matched_conf) 150 val s1_last_addr = RegNext(s0_matched_last_addr) 151 val s1_last_stride = RegNext(s0_matched_last_stride) 152 val s1_match_vec = RegNext(VecInit(s0_match_vec)) 153 154 val BLOCK_OFFSET = log2Up(dcacheParameters.blockBytes) 155 val s1_new_stride_vaddr = s1_vaddr(BLOCK_OFFSET + STRIDE_BLK_ADDR_BITS - 1, BLOCK_OFFSET) 156 val s1_new_stride = (0.U(1.W) ## s1_new_stride_vaddr).asSInt - (0.U(1.W) ## s1_last_addr).asSInt 157 val s1_stride_non_zero = s1_last_stride =/= 0.S 158 val s1_stride_match = s1_new_stride === s1_last_stride && s1_stride_non_zero 159 val s1_replace_idx = replacement.way 160 161 for(i <- 0 until smsParams.stride_entries){ 162 val alloc = s1_alloc && i.U === s1_replace_idx 163 val update = s1_hit && s1_match_vec(i) 164 when(update){ 165 assert(valids(i)) 166 entries_conf(i) := Mux(s1_stride_match, 167 Mux(s1_conf === 3.U, 3.U, s1_conf + 1.U), 168 Mux(s1_conf === 0.U, 0.U, s1_conf - 1.U) 169 ) 170 entries_last_addr(i) := s1_new_stride_vaddr 171 when(!s1_conf(1)){ 172 entries_stride(i) := s1_new_stride 173 } 174 } 175 when(alloc){ 176 valids(i) := true.B 177 entries_pc(i) := prev_pc 178 entries_conf(i) := 0.U 179 entries_last_addr(i) := s1_new_stride_vaddr 180 entries_stride(i) := 0.S 181 } 182 assert(!(update && alloc)) 183 } 184 when(s1_hit){ 185 replacement.access(OHToUInt(s1_match_vec.asUInt)) 186 }.elsewhen(s1_alloc){ 187 replacement.access(s1_replace_idx) 188 } 189 190 val s1_block_vaddr = block_addr(s1_vaddr) 191 val s1_pf_block_vaddr = (s1_block_vaddr.asSInt + s1_last_stride).asUInt 192 val s1_pf_cross_page = s1_pf_block_vaddr(BLOCK_ADDR_PAGE_BIT) =/= s1_block_vaddr(BLOCK_ADDR_PAGE_BIT) 193 194 val s2_pf_gen_valid = RegNext(s1_hit && s1_stride_match, false.B) 195 val s2_pf_gen_paddr_valid = RegEnable(!s1_pf_cross_page, s1_hit && s1_stride_match) 196 val s2_pf_block_vaddr = RegEnable(s1_pf_block_vaddr, s1_hit && s1_stride_match) 197 val s2_block_paddr = RegEnable(block_addr(s1_paddr), s1_hit && s1_stride_match) 198 199 val s2_pf_block_addr = Mux(s2_pf_gen_paddr_valid, 200 Cat( 201 s2_block_paddr(PAddrBits - BLOCK_OFFSET - 1, BLOCK_ADDR_PAGE_BIT), 202 s2_pf_block_vaddr(BLOCK_ADDR_PAGE_BIT - 1, 0) 203 ), 204 s2_pf_block_vaddr 205 ) 206 val s2_pf_full_addr = Wire(UInt(VAddrBits.W)) 207 s2_pf_full_addr := s2_pf_block_addr ## 0.U(BLOCK_OFFSET.W) 208 209 val s2_pf_region_addr = region_addr(s2_pf_full_addr) 210 val s2_pf_region_offset = s2_pf_block_addr(REGION_OFFSET - 1, 0) 211 212 val s2_full_vaddr = Wire(UInt(VAddrBits.W)) 213 s2_full_vaddr := s2_pf_block_vaddr ## 0.U(BLOCK_OFFSET.W) 214 215 val s2_region_tag = region_hash_tag(region_addr(s2_full_vaddr)) 216 217 io.s2_gen_req.valid := s2_pf_gen_valid && io.stride_en 218 io.s2_gen_req.bits.region_tag := s2_region_tag 219 io.s2_gen_req.bits.region_addr := s2_pf_region_addr 220 io.s2_gen_req.bits.alias_bits := get_alias_bits(region_addr(s2_full_vaddr)) 221 io.s2_gen_req.bits.region_bits := region_offset_to_bits(s2_pf_region_offset) 222 io.s2_gen_req.bits.paddr_valid := s2_pf_gen_paddr_valid 223 io.s2_gen_req.bits.decr_mode := false.B 224 io.s2_gen_req.bits.debug_source_type := HW_PREFETCH_STRIDE.U 225 226} 227 228class AGTEntry()(implicit p: Parameters) extends XSBundle with HasSMSModuleHelper { 229 val pht_index = UInt(PHT_INDEX_BITS.W) 230 val pht_tag = UInt(PHT_TAG_BITS.W) 231 val region_bits = UInt(REGION_BLKS.W) 232 val region_tag = UInt(REGION_TAG_WIDTH.W) 233 val region_offset = UInt(REGION_OFFSET.W) 234 val access_cnt = UInt((REGION_BLKS-1).U.getWidth.W) 235 val decr_mode = Bool() 236} 237 238class PfGenReq()(implicit p: Parameters) extends XSBundle with HasSMSModuleHelper { 239 val region_tag = UInt(REGION_TAG_WIDTH.W) 240 val region_addr = UInt(REGION_ADDR_BITS.W) 241 val region_bits = UInt(REGION_BLKS.W) 242 val paddr_valid = Bool() 243 val decr_mode = Bool() 244 val alias_bits = UInt(2.W) 245 val debug_source_type = UInt(log2Up(nSourceType).W) 246} 247 248class ActiveGenerationTable()(implicit p: Parameters) extends XSModule with HasSMSModuleHelper { 249 val io = IO(new Bundle() { 250 val agt_en = Input(Bool()) 251 val s0_lookup = Flipped(ValidIO(new Bundle() { 252 val region_tag = UInt(REGION_TAG_WIDTH.W) 253 val region_p1_tag = UInt(REGION_TAG_WIDTH.W) 254 val region_m1_tag = UInt(REGION_TAG_WIDTH.W) 255 val region_offset = UInt(REGION_OFFSET.W) 256 val pht_index = UInt(PHT_INDEX_BITS.W) 257 val pht_tag = UInt(PHT_TAG_BITS.W) 258 val allow_cross_region_p1 = Bool() 259 val allow_cross_region_m1 = Bool() 260 val region_p1_cross_page = Bool() 261 val region_m1_cross_page = Bool() 262 val region_paddr = UInt(REGION_ADDR_BITS.W) 263 val region_vaddr = UInt(REGION_ADDR_BITS.W) 264 })) 265 val s1_sel_stride = Output(Bool()) 266 val s2_stride_hit = Input(Bool()) 267 // if agt/stride missed, try lookup pht 268 val s2_pht_lookup = ValidIO(new PhtLookup()) 269 // evict entry to pht 270 val s2_evict = ValidIO(new AGTEntry()) 271 val s2_pf_gen_req = ValidIO(new PfGenReq()) 272 val act_threshold = Input(UInt(REGION_OFFSET.W)) 273 val act_stride = Input(UInt(6.W)) 274 }) 275 276 val entries = Seq.fill(smsParams.active_gen_table_size){ Reg(new AGTEntry()) } 277 val valids = Seq.fill(smsParams.active_gen_table_size){ RegInit(false.B) } 278 val replacement = ReplacementPolicy.fromString("plru", smsParams.active_gen_table_size) 279 280 val s1_replace_mask_w = Wire(UInt(smsParams.active_gen_table_size.W)) 281 282 val s0_lookup = io.s0_lookup.bits 283 val s0_lookup_valid = io.s0_lookup.valid 284 285 val prev_lookup = RegEnable(s0_lookup, s0_lookup_valid) 286 val prev_lookup_valid = RegNext(s0_lookup_valid, false.B) 287 288 val s0_match_prev = prev_lookup_valid && s0_lookup.region_tag === prev_lookup.region_tag 289 290 def gen_match_vec(region_tag: UInt): Seq[Bool] = { 291 entries.zip(valids).map({ 292 case (ent, v) => v && ent.region_tag === region_tag 293 }) 294 } 295 296 val region_match_vec_s0 = gen_match_vec(s0_lookup.region_tag) 297 val region_p1_match_vec_s0 = gen_match_vec(s0_lookup.region_p1_tag) 298 val region_m1_match_vec_s0 = gen_match_vec(s0_lookup.region_m1_tag) 299 300 val any_region_match = Cat(region_match_vec_s0).orR 301 val any_region_p1_match = Cat(region_p1_match_vec_s0).orR && s0_lookup.allow_cross_region_p1 302 val any_region_m1_match = Cat(region_m1_match_vec_s0).orR && s0_lookup.allow_cross_region_m1 303 304 val s0_region_hit = any_region_match 305 val s0_cross_region_hit = any_region_m1_match || any_region_p1_match 306 val s0_alloc = s0_lookup_valid && !s0_region_hit && !s0_match_prev 307 val s0_pf_gen_match_vec = valids.indices.map(i => { 308 Mux(any_region_match, 309 region_match_vec_s0(i), 310 Mux(any_region_m1_match, 311 region_m1_match_vec_s0(i), region_p1_match_vec_s0(i) 312 ) 313 ) 314 }) 315 val s0_agt_entry = Wire(new AGTEntry()) 316 317 s0_agt_entry.pht_index := s0_lookup.pht_index 318 s0_agt_entry.pht_tag := s0_lookup.pht_tag 319 s0_agt_entry.region_bits := region_offset_to_bits(s0_lookup.region_offset) 320 s0_agt_entry.region_tag := s0_lookup.region_tag 321 s0_agt_entry.region_offset := s0_lookup.region_offset 322 s0_agt_entry.access_cnt := 1.U 323 // lookup_region + 1 == entry_region 324 // lookup_region = entry_region - 1 => decr mode 325 s0_agt_entry.decr_mode := !s0_region_hit && !any_region_m1_match && any_region_p1_match 326 val s0_replace_way = replacement.way 327 val s0_replace_mask = UIntToOH(s0_replace_way) 328 // s0 hit a entry that may be replaced in s1 329 val s0_update_conflict = Cat(VecInit(region_match_vec_s0).asUInt & s1_replace_mask_w).orR 330 val s0_update = s0_lookup_valid && s0_region_hit && !s0_update_conflict 331 332 val s0_access_way = Mux1H( 333 Seq(s0_update, s0_alloc), 334 Seq(OHToUInt(region_match_vec_s0), s0_replace_way) 335 ) 336 when(s0_update || s0_alloc) { 337 replacement.access(s0_access_way) 338 } 339 340 // stage1: update/alloc 341 // region hit, update entry 342 val s1_update = RegNext(s0_update, false.B) 343 val s1_update_mask = RegEnable(VecInit(region_match_vec_s0), s0_lookup_valid) 344 val s1_agt_entry = RegEnable(s0_agt_entry, s0_lookup_valid) 345 val s1_cross_region_match = RegNext(s0_lookup_valid && s0_cross_region_hit, false.B) 346 val s1_alloc = RegNext(s0_alloc, false.B) 347 val s1_alloc_entry = s1_agt_entry 348 val s1_replace_mask = RegEnable(s0_replace_mask, s0_lookup_valid) 349 s1_replace_mask_w := s1_replace_mask & Fill(smsParams.active_gen_table_size, s1_alloc) 350 val s1_evict_entry = Mux1H(s1_replace_mask, entries) 351 val s1_evict_valid = Mux1H(s1_replace_mask, valids) 352 // pf gen 353 val s1_pf_gen_match_vec = RegEnable(VecInit(s0_pf_gen_match_vec), s0_lookup_valid) 354 val s1_region_paddr = RegEnable(s0_lookup.region_paddr, s0_lookup_valid) 355 val s1_region_vaddr = RegEnable(s0_lookup.region_vaddr, s0_lookup_valid) 356 val s1_region_offset = RegEnable(s0_lookup.region_offset, s0_lookup_valid) 357 for(i <- entries.indices){ 358 val alloc = s1_replace_mask(i) && s1_alloc 359 val update = s1_update_mask(i) && s1_update 360 val update_entry = WireInit(entries(i)) 361 update_entry.region_bits := entries(i).region_bits | s1_agt_entry.region_bits 362 update_entry.access_cnt := Mux(entries(i).access_cnt === (REGION_BLKS - 1).U, 363 entries(i).access_cnt, 364 entries(i).access_cnt + (s1_agt_entry.region_bits & (~entries(i).region_bits).asUInt).orR 365 ) 366 valids(i) := valids(i) || alloc 367 entries(i) := Mux(alloc, s1_alloc_entry, Mux(update, update_entry, entries(i))) 368 } 369 370 when(s1_update){ 371 assert(PopCount(s1_update_mask) === 1.U, "multi-agt-update") 372 } 373 when(s1_alloc){ 374 assert(PopCount(s1_replace_mask) === 1.U, "multi-agt-alloc") 375 } 376 377 // pf_addr 378 // 1.hit => pf_addr = lookup_addr + (decr ? -1 : 1) 379 // 2.lookup region - 1 hit => lookup_addr + 1 (incr mode) 380 // 3.lookup region + 1 hit => lookup_addr - 1 (decr mode) 381 val s1_hited_entry_decr = Mux1H(s1_update_mask, entries.map(_.decr_mode)) 382 val s1_pf_gen_decr_mode = Mux(s1_update, 383 s1_hited_entry_decr, 384 s1_agt_entry.decr_mode 385 ) 386 387 val s1_pf_gen_vaddr_inc = Cat(0.U, s1_region_vaddr(REGION_TAG_WIDTH - 1, 0), s1_region_offset) + io.act_stride 388 val s1_pf_gen_vaddr_dec = Cat(0.U, s1_region_vaddr(REGION_TAG_WIDTH - 1, 0), s1_region_offset) - io.act_stride 389 val s1_vaddr_inc_cross_page = s1_pf_gen_vaddr_inc(BLOCK_ADDR_PAGE_BIT) =/= s1_region_vaddr(REGION_ADDR_PAGE_BIT) 390 val s1_vaddr_dec_cross_page = s1_pf_gen_vaddr_dec(BLOCK_ADDR_PAGE_BIT) =/= s1_region_vaddr(REGION_ADDR_PAGE_BIT) 391 val s1_vaddr_inc_cross_max_lim = s1_pf_gen_vaddr_inc.head(1).asBool 392 val s1_vaddr_dec_cross_max_lim = s1_pf_gen_vaddr_dec.head(1).asBool 393 394 //val s1_pf_gen_vaddr_p1 = s1_region_vaddr(REGION_TAG_WIDTH - 1, 0) + 1.U 395 //val s1_pf_gen_vaddr_m1 = s1_region_vaddr(REGION_TAG_WIDTH - 1, 0) - 1.U 396 val s1_pf_gen_vaddr = Cat( 397 s1_region_vaddr(REGION_ADDR_BITS - 1, REGION_TAG_WIDTH), 398 Mux(s1_pf_gen_decr_mode, 399 s1_pf_gen_vaddr_dec.tail(1).head(REGION_TAG_WIDTH), 400 s1_pf_gen_vaddr_inc.tail(1).head(REGION_TAG_WIDTH) 401 ) 402 ) 403 val s1_pf_gen_offset = Mux(s1_pf_gen_decr_mode, 404 s1_pf_gen_vaddr_dec(REGION_OFFSET - 1, 0), 405 s1_pf_gen_vaddr_inc(REGION_OFFSET - 1, 0) 406 ) 407 val s1_pf_gen_offset_mask = UIntToOH(s1_pf_gen_offset) 408 val s1_pf_gen_access_cnt = Mux1H(s1_pf_gen_match_vec, entries.map(_.access_cnt)) 409 val s1_in_active_page = s1_pf_gen_access_cnt > io.act_threshold 410 val s1_pf_gen_valid = prev_lookup_valid && (s1_alloc && s1_cross_region_match || s1_update) && Mux(s1_pf_gen_decr_mode, 411 !s1_vaddr_dec_cross_max_lim, 412 !s1_vaddr_inc_cross_max_lim 413 ) && s1_in_active_page && io.agt_en 414 val s1_pf_gen_paddr_valid = Mux(s1_pf_gen_decr_mode, !s1_vaddr_dec_cross_page, !s1_vaddr_inc_cross_page) 415 val s1_pf_gen_region_addr = Mux(s1_pf_gen_paddr_valid, 416 Cat(s1_region_paddr(REGION_ADDR_BITS - 1, REGION_ADDR_PAGE_BIT), s1_pf_gen_vaddr(REGION_ADDR_PAGE_BIT - 1, 0)), 417 s1_pf_gen_vaddr 418 ) 419 val s1_pf_gen_region_tag = region_hash_tag(s1_pf_gen_vaddr) 420 val s1_pf_gen_incr_region_bits = VecInit((0 until REGION_BLKS).map(i => { 421 if(i == 0) true.B else !s1_pf_gen_offset_mask(i - 1, 0).orR 422 })).asUInt 423 val s1_pf_gen_decr_region_bits = VecInit((0 until REGION_BLKS).map(i => { 424 if(i == REGION_BLKS - 1) true.B 425 else !s1_pf_gen_offset_mask(REGION_BLKS - 1, i + 1).orR 426 })).asUInt 427 val s1_pf_gen_region_bits = Mux(s1_pf_gen_decr_mode, 428 s1_pf_gen_decr_region_bits, 429 s1_pf_gen_incr_region_bits 430 ) 431 val s1_pht_lookup_valid = Wire(Bool()) 432 val s1_pht_lookup = Wire(new PhtLookup()) 433 434 s1_pht_lookup_valid := !s1_pf_gen_valid && prev_lookup_valid 435 s1_pht_lookup.pht_index := s1_agt_entry.pht_index 436 s1_pht_lookup.pht_tag := s1_agt_entry.pht_tag 437 s1_pht_lookup.region_vaddr := s1_region_vaddr 438 s1_pht_lookup.region_paddr := s1_region_paddr 439 s1_pht_lookup.region_offset := s1_region_offset 440 441 io.s1_sel_stride := prev_lookup_valid && (s1_alloc && s1_cross_region_match || s1_update) && !s1_in_active_page 442 443 // stage2: gen pf reg / evict entry to pht 444 val s2_evict_entry = RegEnable(s1_evict_entry, s1_alloc) 445 val s2_evict_valid = RegNext(s1_alloc && s1_evict_valid, false.B) 446 val s2_paddr_valid = RegEnable(s1_pf_gen_paddr_valid, s1_pf_gen_valid) 447 val s2_pf_gen_region_tag = RegEnable(s1_pf_gen_region_tag, s1_pf_gen_valid) 448 val s2_pf_gen_decr_mode = RegEnable(s1_pf_gen_decr_mode, s1_pf_gen_valid) 449 val s2_pf_gen_region_paddr = RegEnable(s1_pf_gen_region_addr, s1_pf_gen_valid) 450 val s2_pf_gen_alias_bits = RegEnable(get_alias_bits(s1_pf_gen_vaddr), s1_pf_gen_valid) 451 val s2_pf_gen_region_bits = RegEnable(s1_pf_gen_region_bits, s1_pf_gen_valid) 452 val s2_pf_gen_valid = RegNext(s1_pf_gen_valid, false.B) 453 val s2_pht_lookup_valid = RegNext(s1_pht_lookup_valid, false.B) && !io.s2_stride_hit 454 val s2_pht_lookup = RegEnable(s1_pht_lookup, s1_pht_lookup_valid) 455 456 io.s2_evict.valid := s2_evict_valid 457 io.s2_evict.bits := s2_evict_entry 458 459 io.s2_pf_gen_req.bits.region_tag := s2_pf_gen_region_tag 460 io.s2_pf_gen_req.bits.region_addr := s2_pf_gen_region_paddr 461 io.s2_pf_gen_req.bits.alias_bits := s2_pf_gen_alias_bits 462 io.s2_pf_gen_req.bits.region_bits := s2_pf_gen_region_bits 463 io.s2_pf_gen_req.bits.paddr_valid := s2_paddr_valid 464 io.s2_pf_gen_req.bits.decr_mode := s2_pf_gen_decr_mode 465 io.s2_pf_gen_req.valid := s2_pf_gen_valid 466 io.s2_pf_gen_req.bits.debug_source_type := HW_PREFETCH_AGT.U 467 468 io.s2_pht_lookup.valid := s2_pht_lookup_valid 469 io.s2_pht_lookup.bits := s2_pht_lookup 470 471 XSPerfAccumulate("sms_agt_in", io.s0_lookup.valid) 472 XSPerfAccumulate("sms_agt_alloc", s1_alloc) // cross region match or filter evict 473 XSPerfAccumulate("sms_agt_update", s1_update) // entry hit 474 XSPerfAccumulate("sms_agt_pf_gen", io.s2_pf_gen_req.valid) 475 XSPerfAccumulate("sms_agt_pf_gen_paddr_valid", 476 io.s2_pf_gen_req.valid && io.s2_pf_gen_req.bits.paddr_valid 477 ) 478 XSPerfAccumulate("sms_agt_pf_gen_decr_mode", 479 io.s2_pf_gen_req.valid && io.s2_pf_gen_req.bits.decr_mode 480 ) 481 for(i <- 0 until smsParams.active_gen_table_size){ 482 XSPerfAccumulate(s"sms_agt_access_entry_$i", 483 s1_alloc && s1_replace_mask(i) || s1_update && s1_update_mask(i) 484 ) 485 } 486 487} 488 489class PhtLookup()(implicit p: Parameters) extends XSBundle with HasSMSModuleHelper { 490 val pht_index = UInt(PHT_INDEX_BITS.W) 491 val pht_tag = UInt(PHT_TAG_BITS.W) 492 val region_paddr = UInt(REGION_ADDR_BITS.W) 493 val region_vaddr = UInt(REGION_ADDR_BITS.W) 494 val region_offset = UInt(REGION_OFFSET.W) 495} 496 497class PhtEntry()(implicit p: Parameters) extends XSBundle with HasSMSModuleHelper { 498 val hist = Vec(2 * (REGION_BLKS - 1), UInt(PHT_HIST_BITS.W)) 499 val tag = UInt(PHT_TAG_BITS.W) 500 val decr_mode = Bool() 501} 502 503class PatternHistoryTable()(implicit p: Parameters) extends XSModule with HasSMSModuleHelper { 504 val io = IO(new Bundle() { 505 // receive agt evicted entry 506 val agt_update = Flipped(ValidIO(new AGTEntry())) 507 // at stage2, if we know agt missed, lookup pht 508 val s2_agt_lookup = Flipped(ValidIO(new PhtLookup())) 509 // pht-generated prefetch req 510 val pf_gen_req = ValidIO(new PfGenReq()) 511 }) 512 513 val pht_ram = Module(new SRAMTemplate[PhtEntry](new PhtEntry, 514 set = smsParams.pht_size / smsParams.pht_ways, 515 way =smsParams.pht_ways, 516 singlePort = true 517 )) 518 def PHT_SETS = smsParams.pht_size / smsParams.pht_ways 519 val pht_valids = Seq.fill(smsParams.pht_ways){ 520 RegInit(VecInit(Seq.fill(PHT_SETS){false.B})) 521 } 522 val replacement = Seq.fill(PHT_SETS) { ReplacementPolicy.fromString("plru", smsParams.pht_ways) } 523 524 val lookup_queue = Module(new OverrideableQueue(new PhtLookup, smsParams.pht_lookup_queue_size)) 525 lookup_queue.io.in := io.s2_agt_lookup 526 val lookup = lookup_queue.io.out 527 528 val evict_queue = Module(new OverrideableQueue(new AGTEntry, smsParams.pht_lookup_queue_size)) 529 evict_queue.io.in := io.agt_update 530 val evict = evict_queue.io.out 531 532 XSPerfAccumulate("sms_pht_lookup_in", lookup_queue.io.in.fire) 533 XSPerfAccumulate("sms_pht_lookup_out", lookup_queue.io.out.fire) 534 XSPerfAccumulate("sms_pht_evict_in", evict_queue.io.in.fire) 535 XSPerfAccumulate("sms_pht_evict_out", evict_queue.io.out.fire) 536 537 val s3_ram_en = Wire(Bool()) 538 val s1_valid = Wire(Bool()) 539 // if s1.raddr == s2.waddr or s3 is using ram port, block s1 540 val s1_wait = Wire(Bool()) 541 // pipe s0: select an op from [lookup, update], generate ram read addr 542 val s0_valid = lookup.valid || evict.valid 543 544 evict.ready := !s1_valid || !s1_wait 545 lookup.ready := evict.ready && !evict.valid 546 547 val s0_ram_raddr = Mux(evict.valid, 548 evict.bits.pht_index, 549 lookup.bits.pht_index 550 ) 551 val s0_tag = Mux(evict.valid, evict.bits.pht_tag, lookup.bits.pht_tag) 552 val s0_region_offset = Mux(evict.valid, evict.bits.region_offset, lookup.bits.region_offset) 553 val s0_region_paddr = lookup.bits.region_paddr 554 val s0_region_vaddr = lookup.bits.region_vaddr 555 val s0_region_bits = evict.bits.region_bits 556 val s0_decr_mode = evict.bits.decr_mode 557 val s0_evict = evict.valid 558 559 // pipe s1: send addr to ram 560 val s1_valid_r = RegInit(false.B) 561 s1_valid_r := Mux(s1_valid && s1_wait, true.B, s0_valid) 562 s1_valid := s1_valid_r 563 val s1_reg_en = s0_valid && (!s1_wait || !s1_valid) 564 val s1_ram_raddr = RegEnable(s0_ram_raddr, s1_reg_en) 565 val s1_tag = RegEnable(s0_tag, s1_reg_en) 566 val s1_region_bits = RegEnable(s0_region_bits, s1_reg_en) 567 val s1_decr_mode = RegEnable(s0_decr_mode, s1_reg_en) 568 val s1_region_paddr = RegEnable(s0_region_paddr, s1_reg_en) 569 val s1_region_vaddr = RegEnable(s0_region_vaddr, s1_reg_en) 570 val s1_region_offset = RegEnable(s0_region_offset, s1_reg_en) 571 val s1_pht_valids = pht_valids.map(way => Mux1H( 572 (0 until PHT_SETS).map(i => i.U === s1_ram_raddr), 573 way 574 )) 575 val s1_evict = RegEnable(s0_evict, s1_reg_en) 576 val s1_replace_way = Mux1H( 577 (0 until PHT_SETS).map(i => i.U === s1_ram_raddr), 578 replacement.map(_.way) 579 ) 580 val s1_hist_update_mask = Cat( 581 Fill(REGION_BLKS - 1, true.B), 0.U((REGION_BLKS - 1).W) 582 ) >> s1_region_offset 583 val s1_hist_bits = Cat( 584 s1_region_bits.head(REGION_BLKS - 1) >> s1_region_offset, 585 (Cat( 586 s1_region_bits.tail(1), 0.U((REGION_BLKS - 1).W) 587 ) >> s1_region_offset)(REGION_BLKS - 2, 0) 588 ) 589 590 // pipe s2: generate ram write addr/data 591 val s2_valid = RegNext(s1_valid && !s1_wait, false.B) 592 val s2_reg_en = s1_valid && !s1_wait 593 val s2_hist_update_mask = RegEnable(s1_hist_update_mask, s2_reg_en) 594 val s2_hist_bits = RegEnable(s1_hist_bits, s2_reg_en) 595 val s2_tag = RegEnable(s1_tag, s2_reg_en) 596 val s2_region_bits = RegEnable(s1_region_bits, s2_reg_en) 597 val s2_decr_mode = RegEnable(s1_decr_mode, s2_reg_en) 598 val s2_region_paddr = RegEnable(s1_region_paddr, s2_reg_en) 599 val s2_region_vaddr = RegEnable(s1_region_vaddr, s2_reg_en) 600 val s2_region_offset = RegEnable(s1_region_offset, s2_reg_en) 601 val s2_region_offset_mask = region_offset_to_bits(s2_region_offset) 602 val s2_evict = RegEnable(s1_evict, s2_reg_en) 603 val s2_pht_valids = s1_pht_valids.map(v => RegEnable(v, s2_reg_en)) 604 val s2_replace_way = RegEnable(s1_replace_way, s2_reg_en) 605 val s2_ram_waddr = RegEnable(s1_ram_raddr, s2_reg_en) 606 val s2_ram_rdata = pht_ram.io.r.resp.data 607 val s2_ram_rtags = s2_ram_rdata.map(_.tag) 608 val s2_tag_match_vec = s2_ram_rtags.map(t => t === s2_tag) 609 val s2_hit_vec = s2_tag_match_vec.zip(s2_pht_valids).map({ 610 case (tag_match, v) => v && tag_match 611 }) 612 val s2_hist_update = s2_ram_rdata.map(way => VecInit(way.hist.zipWithIndex.map({ 613 case (h, i) => 614 val do_update = s2_hist_update_mask(i) 615 val hist_updated = Mux(s2_hist_bits(i), 616 Mux(h.andR, h, h + 1.U), 617 Mux(h === 0.U, 0.U, h - 1.U) 618 ) 619 Mux(do_update, hist_updated, h) 620 }))) 621 val s2_hist_pf_gen = Mux1H(s2_hit_vec, s2_ram_rdata.map(way => VecInit(way.hist.map(_.head(1))).asUInt)) 622 val s2_new_hist = VecInit(s2_hist_bits.asBools.map(b => Cat(0.U((PHT_HIST_BITS - 1).W), b))) 623 val s2_pht_hit = Cat(s2_hit_vec).orR 624 val s2_hist = Mux(s2_pht_hit, Mux1H(s2_hit_vec, s2_hist_update), s2_new_hist) 625 val s2_repl_way_mask = UIntToOH(s2_replace_way) 626 val s2_incr_region_vaddr = s2_region_vaddr + 1.U 627 val s2_decr_region_vaddr = s2_region_vaddr - 1.U 628 629 // pipe s3: send addr/data to ram, gen pf_req 630 val s3_valid = RegNext(s2_valid, false.B) 631 val s3_evict = RegEnable(s2_evict, s2_valid) 632 val s3_hist = RegEnable(s2_hist, s2_valid) 633 val s3_hist_pf_gen = RegEnable(s2_hist_pf_gen, s2_valid) 634 val s3_hist_update_mask = RegEnable(s2_hist_update_mask.asUInt, s2_valid) 635 val s3_region_offset = RegEnable(s2_region_offset, s2_valid) 636 val s3_region_offset_mask = RegEnable(s2_region_offset_mask, s2_valid) 637 val s3_decr_mode = RegEnable(s2_decr_mode, s2_valid) 638 val s3_region_paddr = RegEnable(s2_region_paddr, s2_valid) 639 val s3_region_vaddr = RegEnable(s2_region_vaddr, s2_valid) 640 val s3_pht_tag = RegEnable(s2_tag, s2_valid) 641 val s3_hit_vec = s2_hit_vec.map(h => RegEnable(h, s2_valid)) 642 val s3_hit = Cat(s3_hit_vec).orR 643 val s3_hit_way = OHToUInt(s3_hit_vec) 644 val s3_repl_way = RegEnable(s2_replace_way, s2_valid) 645 val s3_repl_way_mask = RegEnable(s2_repl_way_mask, s2_valid) 646 val s3_repl_update_mask = RegEnable(VecInit((0 until PHT_SETS).map(i => i.U === s2_ram_waddr)), s2_valid) 647 val s3_ram_waddr = RegEnable(s2_ram_waddr, s2_valid) 648 val s3_incr_region_vaddr = RegEnable(s2_incr_region_vaddr, s2_valid) 649 val s3_decr_region_vaddr = RegEnable(s2_decr_region_vaddr, s2_valid) 650 s3_ram_en := s3_valid && s3_evict 651 val s3_ram_wdata = Wire(new PhtEntry()) 652 s3_ram_wdata.hist := s3_hist 653 s3_ram_wdata.tag := s3_pht_tag 654 s3_ram_wdata.decr_mode := s3_decr_mode 655 656 s1_wait := (s2_valid && s2_evict && s2_ram_waddr === s1_ram_raddr) || s3_ram_en 657 658 for((valids, way_idx) <- pht_valids.zipWithIndex){ 659 val update_way = s3_repl_way_mask(way_idx) 660 for((v, set_idx) <- valids.zipWithIndex){ 661 val update_set = s3_repl_update_mask(set_idx) 662 when(s3_valid && s3_evict && !s3_hit && update_set && update_way){ 663 v := true.B 664 } 665 } 666 } 667 for((r, i) <- replacement.zipWithIndex){ 668 when(s3_valid && s3_repl_update_mask(i)){ 669 when(s3_hit){ 670 r.access(s3_hit_way) 671 }.elsewhen(s3_evict){ 672 r.access(s3_repl_way) 673 } 674 } 675 } 676 677 val s3_way_mask = Mux(s3_hit, 678 VecInit(s3_hit_vec).asUInt, 679 s3_repl_way_mask, 680 ).asUInt 681 682 pht_ram.io.r( 683 s1_valid, s1_ram_raddr 684 ) 685 pht_ram.io.w( 686 s3_ram_en, s3_ram_wdata, s3_ram_waddr, s3_way_mask 687 ) 688 689 when(s3_valid && s3_hit){ 690 assert(!Cat(s3_hit_vec).andR, "sms_pht: multi-hit!") 691 } 692 693 // generate pf req if hit 694 val s3_hist_hi = s3_hist_pf_gen.head(REGION_BLKS - 1) 695 val s3_hist_lo = s3_hist_pf_gen.tail(REGION_BLKS - 1) 696 val s3_hist_hi_shifted = (Cat(0.U((REGION_BLKS - 1).W), s3_hist_hi) << s3_region_offset)(2 * (REGION_BLKS - 1) - 1, 0) 697 val s3_hist_lo_shifted = (Cat(0.U((REGION_BLKS - 1).W), s3_hist_lo) << s3_region_offset)(2 * (REGION_BLKS - 1) - 1, 0) 698 val s3_cur_region_bits = Cat(s3_hist_hi_shifted.tail(REGION_BLKS - 1), 0.U(1.W)) | 699 Cat(0.U(1.W), s3_hist_lo_shifted.head(REGION_BLKS - 1)) 700 val s3_incr_region_bits = Cat(0.U(1.W), s3_hist_hi_shifted.head(REGION_BLKS - 1)) 701 val s3_decr_region_bits = Cat(s3_hist_lo_shifted.tail(REGION_BLKS - 1), 0.U(1.W)) 702 val s3_pf_gen_valid = s3_valid && s3_hit && !s3_evict 703 val s3_cur_region_valid = s3_pf_gen_valid && (s3_hist_pf_gen & s3_hist_update_mask).orR 704 val s3_incr_region_valid = s3_pf_gen_valid && (s3_hist_hi & (~s3_hist_update_mask.head(REGION_BLKS - 1)).asUInt).orR 705 val s3_decr_region_valid = s3_pf_gen_valid && (s3_hist_lo & (~s3_hist_update_mask.tail(REGION_BLKS - 1)).asUInt).orR 706 val s3_incr_alias_bits = get_alias_bits(s3_incr_region_vaddr) 707 val s3_decr_alias_bits = get_alias_bits(s3_decr_region_vaddr) 708 val s3_incr_region_paddr = Cat( 709 s3_region_paddr(REGION_ADDR_BITS - 1, REGION_ADDR_PAGE_BIT), 710 s3_incr_region_vaddr(REGION_ADDR_PAGE_BIT - 1, 0) 711 ) 712 val s3_decr_region_paddr = Cat( 713 s3_region_paddr(REGION_ADDR_BITS - 1, REGION_ADDR_PAGE_BIT), 714 s3_decr_region_vaddr(REGION_ADDR_PAGE_BIT - 1, 0) 715 ) 716 val s3_incr_crosspage = s3_incr_region_vaddr(REGION_ADDR_PAGE_BIT) =/= s3_region_vaddr(REGION_ADDR_PAGE_BIT) 717 val s3_decr_crosspage = s3_decr_region_vaddr(REGION_ADDR_PAGE_BIT) =/= s3_region_vaddr(REGION_ADDR_PAGE_BIT) 718 val s3_cur_region_tag = region_hash_tag(s3_region_vaddr) 719 val s3_incr_region_tag = region_hash_tag(s3_incr_region_vaddr) 720 val s3_decr_region_tag = region_hash_tag(s3_decr_region_vaddr) 721 722 val pf_gen_req_arb = Module(new Arbiter(new PfGenReq, 3)) 723 val s4_pf_gen_cur_region_valid = RegInit(false.B) 724 val s4_pf_gen_cur_region = Reg(new PfGenReq) 725 val s4_pf_gen_incr_region_valid = RegInit(false.B) 726 val s4_pf_gen_incr_region = Reg(new PfGenReq) 727 val s4_pf_gen_decr_region_valid = RegInit(false.B) 728 val s4_pf_gen_decr_region = Reg(new PfGenReq) 729 730 s4_pf_gen_cur_region_valid := s3_cur_region_valid 731 when(s3_cur_region_valid){ 732 s4_pf_gen_cur_region.region_addr := s3_region_paddr 733 s4_pf_gen_cur_region.alias_bits := get_alias_bits(s3_region_vaddr) 734 s4_pf_gen_cur_region.region_tag := s3_cur_region_tag 735 s4_pf_gen_cur_region.region_bits := s3_cur_region_bits 736 s4_pf_gen_cur_region.paddr_valid := true.B 737 s4_pf_gen_cur_region.decr_mode := false.B 738 } 739 s4_pf_gen_incr_region_valid := s3_incr_region_valid || 740 (!pf_gen_req_arb.io.in(1).ready && s4_pf_gen_incr_region_valid) 741 when(s3_incr_region_valid){ 742 s4_pf_gen_incr_region.region_addr := Mux(s3_incr_crosspage, s3_incr_region_vaddr, s3_incr_region_paddr) 743 s4_pf_gen_incr_region.alias_bits := s3_incr_alias_bits 744 s4_pf_gen_incr_region.region_tag := s3_incr_region_tag 745 s4_pf_gen_incr_region.region_bits := s3_incr_region_bits 746 s4_pf_gen_incr_region.paddr_valid := !s3_incr_crosspage 747 s4_pf_gen_incr_region.decr_mode := false.B 748 } 749 s4_pf_gen_decr_region_valid := s3_decr_region_valid || 750 (!pf_gen_req_arb.io.in(2).ready && s4_pf_gen_decr_region_valid) 751 when(s3_decr_region_valid){ 752 s4_pf_gen_decr_region.region_addr := Mux(s3_decr_crosspage, s3_decr_region_vaddr, s3_decr_region_paddr) 753 s4_pf_gen_decr_region.alias_bits := s3_decr_alias_bits 754 s4_pf_gen_decr_region.region_tag := s3_decr_region_tag 755 s4_pf_gen_decr_region.region_bits := s3_decr_region_bits 756 s4_pf_gen_decr_region.paddr_valid := !s3_decr_crosspage 757 s4_pf_gen_decr_region.decr_mode := true.B 758 } 759 760 pf_gen_req_arb.io.in.head.valid := s4_pf_gen_cur_region_valid 761 pf_gen_req_arb.io.in.head.bits := s4_pf_gen_cur_region 762 pf_gen_req_arb.io.in.head.bits.debug_source_type := HW_PREFETCH_PHT_CUR.U 763 pf_gen_req_arb.io.in(1).valid := s4_pf_gen_incr_region_valid 764 pf_gen_req_arb.io.in(1).bits := s4_pf_gen_incr_region 765 pf_gen_req_arb.io.in(1).bits.debug_source_type := HW_PREFETCH_PHT_INC.U 766 pf_gen_req_arb.io.in(2).valid := s4_pf_gen_decr_region_valid 767 pf_gen_req_arb.io.in(2).bits := s4_pf_gen_decr_region 768 pf_gen_req_arb.io.in(2).bits.debug_source_type := HW_PREFETCH_PHT_DEC.U 769 pf_gen_req_arb.io.out.ready := true.B 770 771 io.pf_gen_req.valid := pf_gen_req_arb.io.out.valid 772 io.pf_gen_req.bits := pf_gen_req_arb.io.out.bits 773 774 XSPerfAccumulate("sms_pht_update", io.agt_update.valid) 775 XSPerfAccumulate("sms_pht_update_hit", s2_valid && s2_evict && s2_pht_hit) 776 XSPerfAccumulate("sms_pht_lookup", io.s2_agt_lookup.valid) 777 XSPerfAccumulate("sms_pht_lookup_hit", s2_valid && !s2_evict && s2_pht_hit) 778 for(i <- 0 until smsParams.pht_ways){ 779 XSPerfAccumulate(s"sms_pht_write_way_$i", pht_ram.io.w.req.fire && pht_ram.io.w.req.bits.waymask.get(i)) 780 } 781 for(i <- 0 until PHT_SETS){ 782 XSPerfAccumulate(s"sms_pht_write_set_$i", pht_ram.io.w.req.fire && pht_ram.io.w.req.bits.setIdx === i.U) 783 } 784 XSPerfAccumulate(s"sms_pht_pf_gen", io.pf_gen_req.valid) 785} 786 787class PrefetchFilterEntry()(implicit p: Parameters) extends XSBundle with HasSMSModuleHelper { 788 val region_tag = UInt(REGION_TAG_WIDTH.W) 789 val region_addr = UInt(REGION_ADDR_BITS.W) 790 val region_bits = UInt(REGION_BLKS.W) 791 val filter_bits = UInt(REGION_BLKS.W) 792 val alias_bits = UInt(2.W) 793 val paddr_valid = Bool() 794 val decr_mode = Bool() 795 val debug_source_type = UInt(log2Up(nSourceType).W) 796} 797 798class PrefetchFilter()(implicit p: Parameters) extends XSModule with HasSMSModuleHelper { 799 val io = IO(new Bundle() { 800 val gen_req = Flipped(ValidIO(new PfGenReq())) 801 val tlb_req = new TlbRequestIO(2) 802 val l2_pf_addr = ValidIO(UInt(PAddrBits.W)) 803 val pf_alias_bits = Output(UInt(2.W)) 804 val debug_source_type = Output(UInt(log2Up(nSourceType).W)) 805 }) 806 val entries = Seq.fill(smsParams.pf_filter_size){ Reg(new PrefetchFilterEntry()) } 807 val valids = Seq.fill(smsParams.pf_filter_size){ RegInit(false.B) } 808 val replacement = ReplacementPolicy.fromString("plru", smsParams.pf_filter_size) 809 810 val prev_valid = RegNext(io.gen_req.valid, false.B) 811 val prev_gen_req = RegEnable(io.gen_req.bits, io.gen_req.valid) 812 813 val tlb_req_arb = Module(new RRArbiterInit(new TlbReq, smsParams.pf_filter_size)) 814 val pf_req_arb = Module(new RRArbiterInit(UInt(PAddrBits.W), smsParams.pf_filter_size)) 815 816 io.tlb_req.req <> tlb_req_arb.io.out 817 io.tlb_req.resp.ready := true.B 818 io.tlb_req.req_kill := false.B 819 io.l2_pf_addr.valid := pf_req_arb.io.out.valid 820 io.l2_pf_addr.bits := pf_req_arb.io.out.bits 821 io.pf_alias_bits := Mux1H(entries.zipWithIndex.map({ 822 case (entry, i) => (i.U === pf_req_arb.io.chosen) -> entry.alias_bits 823 })) 824 pf_req_arb.io.out.ready := true.B 825 826 io.debug_source_type := VecInit(entries.map(_.debug_source_type))(pf_req_arb.io.chosen) 827 828 val s1_valid = Wire(Bool()) 829 val s1_hit = Wire(Bool()) 830 val s1_replace_vec = Wire(UInt(smsParams.pf_filter_size.W)) 831 val s1_tlb_fire_vec = Wire(UInt(smsParams.pf_filter_size.W)) 832 val s2_valid = Wire(Bool()) 833 val s2_replace_vec = Wire(UInt(smsParams.pf_filter_size.W)) 834 val s2_tlb_fire_vec = Wire(UInt(smsParams.pf_filter_size.W)) 835 836 // s0: entries lookup 837 val s0_gen_req = io.gen_req.bits 838 val s0_match_prev = prev_valid && (s0_gen_req.region_tag === prev_gen_req.region_tag) 839 val s0_gen_req_valid = io.gen_req.valid && !s0_match_prev 840 val s0_match_vec = valids.indices.map(i => { 841 valids(i) && entries(i).region_tag === s0_gen_req.region_tag && !(s1_valid && !s1_hit && s1_replace_vec(i)) 842 }) 843 val s0_any_matched = Cat(s0_match_vec).orR 844 val s0_replace_vec = UIntToOH(replacement.way) 845 val s0_hit = s0_gen_req_valid && s0_any_matched 846 847 for(((v, ent), i) <- valids.zip(entries).zipWithIndex){ 848 val is_evicted = s1_valid && s1_replace_vec(i) 849 tlb_req_arb.io.in(i).valid := v && !s1_tlb_fire_vec(i) && !s2_tlb_fire_vec(i) && !ent.paddr_valid && !is_evicted 850 tlb_req_arb.io.in(i).bits.vaddr := Cat(ent.region_addr, 0.U(log2Up(REGION_SIZE).W)) 851 tlb_req_arb.io.in(i).bits.cmd := TlbCmd.read 852 tlb_req_arb.io.in(i).bits.size := 3.U 853 tlb_req_arb.io.in(i).bits.kill := false.B 854 tlb_req_arb.io.in(i).bits.no_translate := false.B 855 tlb_req_arb.io.in(i).bits.memidx := DontCare 856 tlb_req_arb.io.in(i).bits.debug := DontCare 857 858 val pending_req_vec = ent.region_bits & (~ent.filter_bits).asUInt 859 val first_one_offset = PriorityMux( 860 pending_req_vec.asBools, 861 (0 until smsParams.pf_filter_size).map(_.U(REGION_OFFSET.W)) 862 ) 863 val last_one_offset = PriorityMux( 864 pending_req_vec.asBools.reverse, 865 (0 until smsParams.pf_filter_size).reverse.map(_.U(REGION_OFFSET.W)) 866 ) 867 val pf_addr = Cat( 868 ent.region_addr, 869 Mux(ent.decr_mode, last_one_offset, first_one_offset), 870 0.U(log2Up(dcacheParameters.blockBytes).W) 871 ) 872 pf_req_arb.io.in(i).valid := v && Cat(pending_req_vec).orR && ent.paddr_valid && !is_evicted 873 pf_req_arb.io.in(i).bits := pf_addr 874 } 875 876 val s0_tlb_fire_vec = VecInit(tlb_req_arb.io.in.map(_.fire)) 877 val s0_pf_fire_vec = VecInit(pf_req_arb.io.in.map(_.fire)) 878 879 val s0_update_way = OHToUInt(s0_match_vec) 880 val s0_replace_way = replacement.way 881 val s0_access_way = Mux(s0_any_matched, s0_update_way, s0_replace_way) 882 when(s0_gen_req_valid){ 883 replacement.access(s0_access_way) 884 } 885 886 // s1: update or alloc 887 val s1_valid_r = RegNext(s0_gen_req_valid, false.B) 888 val s1_hit_r = RegEnable(s0_hit, false.B, s0_gen_req_valid) 889 val s1_gen_req = RegEnable(s0_gen_req, s0_gen_req_valid) 890 val s1_replace_vec_r = RegEnable(s0_replace_vec, s0_gen_req_valid && !s0_hit) 891 val s1_update_vec = RegEnable(VecInit(s0_match_vec).asUInt, s0_gen_req_valid && s0_hit) 892 val s1_tlb_fire_vec_r = RegNext(s0_tlb_fire_vec, 0.U.asTypeOf(s0_tlb_fire_vec)) 893 val s1_alloc_entry = Wire(new PrefetchFilterEntry()) 894 s1_valid := s1_valid_r 895 s1_hit := s1_hit_r 896 s1_replace_vec := s1_replace_vec_r 897 s1_tlb_fire_vec := s1_tlb_fire_vec_r.asUInt 898 s1_alloc_entry.region_tag := s1_gen_req.region_tag 899 s1_alloc_entry.region_addr := s1_gen_req.region_addr 900 s1_alloc_entry.region_bits := s1_gen_req.region_bits 901 s1_alloc_entry.paddr_valid := s1_gen_req.paddr_valid 902 s1_alloc_entry.decr_mode := s1_gen_req.decr_mode 903 s1_alloc_entry.filter_bits := 0.U 904 s1_alloc_entry.alias_bits := s1_gen_req.alias_bits 905 s1_alloc_entry.debug_source_type := s1_gen_req.debug_source_type 906 907 // s2: tlb req will latch one cycle after tlb_arb 908 val s2_valid_r = RegNext(s1_valid, false.B) 909 val s2_replace_vec_r = RegNext(s1_replace_vec, 0.U.asTypeOf((s1_replace_vec))) 910 val s2_tlb_fire_vec_r = RegNext(s1_tlb_fire_vec, 0.U.asTypeOf(s1_tlb_fire_vec)) 911 s2_valid := s2_valid_r 912 s2_replace_vec := s2_replace_vec_r 913 s2_tlb_fire_vec := s2_tlb_fire_vec_r.asUInt 914 915 for(((v, ent), i) <- valids.zip(entries).zipWithIndex){ 916 val alloc = s1_valid && !s1_hit && s1_replace_vec(i) 917 val update = s1_valid && s1_hit && s1_update_vec(i) 918 // for pf: use s0 data 919 val pf_fired = s0_pf_fire_vec(i) 920 val is_evicted = s2_valid && s2_replace_vec(i) 921 val tlb_fired = s2_tlb_fire_vec(i) && !io.tlb_req.resp.bits.miss && !is_evicted 922 when(tlb_fired){ 923 ent.paddr_valid := !io.tlb_req.resp.bits.miss 924 ent.region_addr := region_addr(io.tlb_req.resp.bits.paddr.head) 925 } 926 when(update){ 927 ent.region_bits := ent.region_bits | s1_gen_req.region_bits 928 } 929 when(pf_fired){ 930 val curr_bit = UIntToOH(block_addr(pf_req_arb.io.in(i).bits)(REGION_OFFSET - 1, 0)) 931 ent.filter_bits := ent.filter_bits | curr_bit 932 } 933 when(alloc){ 934 ent := s1_alloc_entry 935 v := true.B 936 } 937 } 938 when(s1_valid && s1_hit){ 939 assert(PopCount(s1_update_vec) === 1.U, "sms_pf_filter: multi-hit") 940 } 941 942 XSPerfAccumulate("sms_pf_filter_recv_req", io.gen_req.valid) 943 XSPerfAccumulate("sms_pf_filter_hit", s1_valid && s1_hit) 944 XSPerfAccumulate("sms_pf_filter_tlb_req", io.tlb_req.req.fire) 945 XSPerfAccumulate("sms_pf_filter_tlb_resp_miss", io.tlb_req.resp.fire && io.tlb_req.resp.bits.miss) 946 for(i <- 0 until smsParams.pf_filter_size){ 947 XSPerfAccumulate(s"sms_pf_filter_access_way_$i", s0_gen_req_valid && s0_access_way === i.U) 948 } 949 XSPerfAccumulate("sms_pf_filter_l2_req", io.l2_pf_addr.valid) 950} 951 952class SMSPrefetcher()(implicit p: Parameters) extends BasePrefecher with HasSMSModuleHelper { 953 954 require(exuParameters.LduCnt == 2) 955 956 val io_agt_en = IO(Input(Bool())) 957 val io_stride_en = IO(Input(Bool())) 958 val io_pht_en = IO(Input(Bool())) 959 val io_act_threshold = IO(Input(UInt(REGION_OFFSET.W))) 960 val io_act_stride = IO(Input(UInt(6.W))) 961 962 val ld_curr = io.ld_in.map(_.bits) 963 val ld_curr_block_tag = ld_curr.map(x => block_hash_tag(x.vaddr)) 964 965 // block filter 966 val ld_prev = io.ld_in.map(ld => RegEnable(ld.bits, ld.valid)) 967 val ld_prev_block_tag = ld_curr_block_tag.zip(io.ld_in.map(_.valid)).map({ 968 case (tag, v) => RegEnable(tag, v) 969 }) 970 val ld_prev_vld = io.ld_in.map(ld => RegNext(ld.valid, false.B)) 971 972 val ld_curr_match_prev = ld_curr_block_tag.map(cur_tag => 973 Cat(ld_prev_block_tag.zip(ld_prev_vld).map({ 974 case (prev_tag, prev_vld) => prev_vld && prev_tag === cur_tag 975 })).orR 976 ) 977 val ld0_match_ld1 = io.ld_in.head.valid && io.ld_in.last.valid && ld_curr_block_tag.head === ld_curr_block_tag.last 978 val ld_curr_vld = Seq( 979 io.ld_in.head.valid && !ld_curr_match_prev.head, 980 io.ld_in.last.valid && !ld_curr_match_prev.last && !ld0_match_ld1 981 ) 982 val ld0_older_than_ld1 = Cat(ld_curr_vld).andR && isBefore(ld_curr.head.uop.robIdx, ld_curr.last.uop.robIdx) 983 val pending_vld = RegNext(Cat(ld_curr_vld).andR, false.B) 984 val pending_sel_ld0 = RegNext(Mux(pending_vld, ld0_older_than_ld1, !ld0_older_than_ld1)) 985 val pending_ld = Mux(pending_sel_ld0, ld_prev.head, ld_prev.last) 986 val pending_ld_block_tag = Mux(pending_sel_ld0, ld_prev_block_tag.head, ld_prev_block_tag.last) 987 val oldest_ld = Mux(pending_vld, 988 pending_ld, 989 Mux(ld0_older_than_ld1 || !ld_curr_vld.last, ld_curr.head, ld_curr.last) 990 ) 991 992 val train_ld = RegEnable(oldest_ld, pending_vld || Cat(ld_curr_vld).orR) 993 994 val train_block_tag = block_hash_tag(train_ld.vaddr) 995 val train_region_tag = train_block_tag.head(REGION_TAG_WIDTH) 996 997 val train_region_addr_raw = region_addr(train_ld.vaddr)(REGION_TAG_WIDTH + 2 * VADDR_HASH_WIDTH - 1, 0) 998 val train_region_addr_p1 = Cat(0.U(1.W), train_region_addr_raw) + 1.U 999 val train_region_addr_m1 = Cat(0.U(1.W), train_region_addr_raw) - 1.U 1000 // addr_p1 or addr_m1 is valid? 1001 val train_allow_cross_region_p1 = !train_region_addr_p1.head(1).asBool 1002 val train_allow_cross_region_m1 = !train_region_addr_m1.head(1).asBool 1003 1004 val train_region_p1_tag = region_hash_tag(train_region_addr_p1.tail(1)) 1005 val train_region_m1_tag = region_hash_tag(train_region_addr_m1.tail(1)) 1006 1007 val train_region_p1_cross_page = page_bit(train_region_addr_p1) ^ page_bit(train_region_addr_raw) 1008 val train_region_m1_cross_page = page_bit(train_region_addr_m1) ^ page_bit(train_region_addr_raw) 1009 1010 val train_region_paddr = region_addr(train_ld.paddr) 1011 val train_region_vaddr = region_addr(train_ld.vaddr) 1012 val train_region_offset = train_block_tag(REGION_OFFSET - 1, 0) 1013 val train_vld = RegNext(pending_vld || Cat(ld_curr_vld).orR, false.B) 1014 1015 1016 // prefetch stage0 1017 val active_gen_table = Module(new ActiveGenerationTable()) 1018 val stride = Module(new StridePF()) 1019 val pht = Module(new PatternHistoryTable()) 1020 val pf_filter = Module(new PrefetchFilter()) 1021 1022 val train_vld_s0 = RegNext(train_vld, false.B) 1023 val train_s0 = RegEnable(train_ld, train_vld) 1024 val train_region_tag_s0 = RegEnable(train_region_tag, train_vld) 1025 val train_region_p1_tag_s0 = RegEnable(train_region_p1_tag, train_vld) 1026 val train_region_m1_tag_s0 = RegEnable(train_region_m1_tag, train_vld) 1027 val train_allow_cross_region_p1_s0 = RegEnable(train_allow_cross_region_p1, train_vld) 1028 val train_allow_cross_region_m1_s0 = RegEnable(train_allow_cross_region_m1, train_vld) 1029 val train_pht_tag_s0 = RegEnable(pht_tag(train_ld.uop.cf.pc), train_vld) 1030 val train_pht_index_s0 = RegEnable(pht_index(train_ld.uop.cf.pc), train_vld) 1031 val train_region_offset_s0 = RegEnable(train_region_offset, train_vld) 1032 val train_region_p1_cross_page_s0 = RegEnable(train_region_p1_cross_page, train_vld) 1033 val train_region_m1_cross_page_s0 = RegEnable(train_region_m1_cross_page, train_vld) 1034 val train_region_paddr_s0 = RegEnable(train_region_paddr, train_vld) 1035 val train_region_vaddr_s0 = RegEnable(train_region_vaddr, train_vld) 1036 1037 active_gen_table.io.agt_en := io_agt_en 1038 active_gen_table.io.act_threshold := io_act_threshold 1039 active_gen_table.io.act_stride := io_act_stride 1040 active_gen_table.io.s0_lookup.valid := train_vld_s0 1041 active_gen_table.io.s0_lookup.bits.region_tag := train_region_tag_s0 1042 active_gen_table.io.s0_lookup.bits.region_p1_tag := train_region_p1_tag_s0 1043 active_gen_table.io.s0_lookup.bits.region_m1_tag := train_region_m1_tag_s0 1044 active_gen_table.io.s0_lookup.bits.region_offset := train_region_offset_s0 1045 active_gen_table.io.s0_lookup.bits.pht_index := train_pht_index_s0 1046 active_gen_table.io.s0_lookup.bits.pht_tag := train_pht_tag_s0 1047 active_gen_table.io.s0_lookup.bits.allow_cross_region_p1 := train_allow_cross_region_p1_s0 1048 active_gen_table.io.s0_lookup.bits.allow_cross_region_m1 := train_allow_cross_region_m1_s0 1049 active_gen_table.io.s0_lookup.bits.region_p1_cross_page := train_region_p1_cross_page_s0 1050 active_gen_table.io.s0_lookup.bits.region_m1_cross_page := train_region_m1_cross_page_s0 1051 active_gen_table.io.s0_lookup.bits.region_paddr := train_region_paddr_s0 1052 active_gen_table.io.s0_lookup.bits.region_vaddr := train_region_vaddr_s0 1053 active_gen_table.io.s2_stride_hit := stride.io.s2_gen_req.valid 1054 1055 stride.io.stride_en := io_stride_en 1056 stride.io.s0_lookup.valid := train_vld_s0 1057 stride.io.s0_lookup.bits.pc := train_s0.uop.cf.pc(STRIDE_PC_BITS - 1, 0) 1058 stride.io.s0_lookup.bits.vaddr := Cat( 1059 train_region_vaddr_s0, train_region_offset_s0, 0.U(log2Up(dcacheParameters.blockBytes).W) 1060 ) 1061 stride.io.s0_lookup.bits.paddr := Cat( 1062 train_region_paddr_s0, train_region_offset_s0, 0.U(log2Up(dcacheParameters.blockBytes).W) 1063 ) 1064 stride.io.s1_valid := active_gen_table.io.s1_sel_stride 1065 1066 pht.io.s2_agt_lookup := active_gen_table.io.s2_pht_lookup 1067 pht.io.agt_update := active_gen_table.io.s2_evict 1068 1069 val pht_gen_valid = pht.io.pf_gen_req.valid && io_pht_en 1070 val agt_gen_valid = active_gen_table.io.s2_pf_gen_req.valid 1071 val stride_gen_valid = stride.io.s2_gen_req.valid 1072 val pf_gen_req = Mux(agt_gen_valid || stride_gen_valid, 1073 Mux1H(Seq( 1074 agt_gen_valid -> active_gen_table.io.s2_pf_gen_req.bits, 1075 stride_gen_valid -> stride.io.s2_gen_req.bits 1076 )), 1077 pht.io.pf_gen_req.bits 1078 ) 1079 assert(!(agt_gen_valid && stride_gen_valid)) 1080 pf_filter.io.gen_req.valid := pht_gen_valid || agt_gen_valid || stride_gen_valid 1081 pf_filter.io.gen_req.bits := pf_gen_req 1082 io.tlb_req <> pf_filter.io.tlb_req 1083 val is_valid_address = pf_filter.io.l2_pf_addr.bits > 0x80000000L.U 1084 io.pf_addr.valid := pf_filter.io.l2_pf_addr.valid && io.enable && is_valid_address 1085 io.pf_addr.bits := pf_filter.io.l2_pf_addr.bits 1086 io.l1_req.bits.paddr := pf_filter.io.l2_pf_addr.bits 1087 io.l1_req.bits.alias := pf_filter.io.pf_alias_bits 1088 io.l1_req.bits.is_store := true.B 1089 io.l1_req.bits.confidence := 1.U 1090 io.l1_req.valid := false.B 1091 1092 for((train, i) <- io.ld_in.zipWithIndex){ 1093 XSPerfAccumulate(s"pf_train_miss_${i}", train.valid && train.bits.miss) 1094 XSPerfAccumulate(s"pf_train_prefetched_${i}", train.valid && train.bits.meta_prefetch) 1095 } 1096 val trace = Wire(new L1MissTrace) 1097 trace.vaddr := 0.U 1098 trace.pc := 0.U 1099 trace.paddr := io.pf_addr.bits 1100 trace.source := pf_filter.io.debug_source_type 1101 val table = ChiselDB.createTable("L1SMSMissTrace_hart"+ p(XSCoreParamsKey).HartId.toString, new L1MissTrace) 1102 table.log(trace, io.pf_addr.fire, "SMSPrefetcher", clock, reset) 1103 1104 XSPerfAccumulate("sms_pf_gen_conflict", 1105 pht_gen_valid && agt_gen_valid 1106 ) 1107 XSPerfAccumulate("sms_pht_disabled", pht.io.pf_gen_req.valid && !io_pht_en) 1108 XSPerfAccumulate("sms_agt_disabled", active_gen_table.io.s2_pf_gen_req.valid && !io_agt_en) 1109 XSPerfAccumulate("sms_pf_real_issued", io.pf_addr.valid) 1110 XSPerfAccumulate("sms_l1_req_valid", io.l1_req.valid) 1111 XSPerfAccumulate("sms_l1_req_fire", io.l1_req.fire) 1112}