xref: /XiangShan/src/main/scala/xiangshan/mem/pipeline/StoreUnit.scala (revision f7063a43ab34da917ba6c670d21871314340c550)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.mem
18
19import org.chipsalliance.cde.config.Parameters
20import chisel3._
21import chisel3.util._
22import utils._
23import utility._
24import xiangshan.ExceptionNO._
25import xiangshan._
26import xiangshan.backend.Bundles.{MemExuInput, MemExuOutput}
27import xiangshan.backend.fu.PMPRespBundle
28import xiangshan.backend.fu.FuConfig._
29import xiangshan.backend.fu.FuType._
30import xiangshan.backend.ctrlblock.DebugLsInfoBundle
31import xiangshan.cache.mmu.{TlbCmd, TlbReq, TlbRequestIO, TlbResp}
32import xiangshan.cache.{DcacheStoreRequestIO, DCacheStoreIO, MemoryOpConstants, HasDCacheParameters, StorePrefetchReq}
33
34class StoreUnit(implicit p: Parameters) extends XSModule with HasDCacheParameters {
35  val io = IO(new Bundle() {
36    val redirect        = Flipped(ValidIO(new Redirect))
37    val stin            = Flipped(Decoupled(new MemExuInput))
38    val issue           = Valid(new MemExuInput)
39    val tlb             = new TlbRequestIO()
40    val dcache          = new DCacheStoreIO
41    val pmp             = Flipped(new PMPRespBundle())
42    val lsq             = ValidIO(new LsPipelineBundle)
43    val lsq_replenish   = Output(new LsPipelineBundle())
44    val feedback_slow   = ValidIO(new RSFeedback)
45    val prefetch_req    = Flipped(DecoupledIO(new StorePrefetchReq))
46    // provide prefetch info to sms
47    val prefetch_train  = ValidIO(new StPrefetchTrainBundle())
48    val stld_nuke_query = Valid(new StoreNukeQueryIO)
49    val stout           = DecoupledIO(new MemExuOutput) // writeback store
50    // store mask, send to sq in store_s0
51    val st_mask_out     = Valid(new StoreMaskBundle)
52    val debug_ls        = Output(new DebugLsInfoBundle)
53    // vector
54    val vecstin           = Flipped(Decoupled(new VecStorePipeBundle()))
55    val vec_isFirstIssue  = Input(Bool())
56    val lsq_vec           = ValidIO(new LsPipelineBundle) // nuke check between vector stores and scalar loads
57    val vec_feedback_slow = ValidIO(new VSFQFeedback)
58  })
59
60  val s1_ready, s2_ready, s3_ready = WireInit(false.B)
61
62  // Pipeline
63  // --------------------------------------------------------------------------------
64  // stage 0
65  // --------------------------------------------------------------------------------
66  // generate addr, use addr to query DCache and DTLB
67  val s0_iss_valid    = io.stin.valid
68  val s0_prf_valid    = io.prefetch_req.valid && io.dcache.req.ready
69  val s0_vec_valid    = io.vecstin.valid
70  val s0_valid        = s0_iss_valid || s0_prf_valid || s0_vec_valid
71  val s0_use_flow_vec = s0_vec_valid
72  val s0_use_flow_rs  = s0_iss_valid && !s0_vec_valid
73  val s0_use_flow_prf = !s0_iss_valid && !s0_vec_valid && s0_prf_valid
74  val s0_stin         = Mux(s0_use_flow_rs, io.stin.bits, 0.U.asTypeOf(io.stin.bits))
75  val s0_vecstin      = Mux(s0_use_flow_vec, io.vecstin.bits, 0.U.asTypeOf(io.vecstin.bits))
76  val s0_uop          = Mux(s0_use_flow_rs, s0_stin.uop, s0_vecstin.uop)
77  val s0_isFirstIssue = s0_use_flow_rs && io.stin.bits.isFirstIssue || s0_use_flow_vec && io.vec_isFirstIssue
78  val s0_rsIdx        = Mux(s0_use_flow_rs, io.stin.bits.iqIdx, 0.U)
79  val s0_size         = Mux(
80    s0_use_flow_rs,
81    LSUOpType.size(s0_uop.fuOpType),
82    Mux(
83      s0_use_flow_vec,
84      io.vecstin.bits.alignedType,
85      3.U
86    )
87  )
88  val s0_mem_idx      = Mux(s0_use_flow_rs || s0_use_flow_vec, s0_uop.sqIdx.value, 0.U)
89  val s0_rob_idx      = Mux(s0_use_flow_rs || s0_use_flow_vec, s0_uop.robIdx, 0.U.asTypeOf(s0_uop.robIdx))
90  val s0_pc           = Mux(s0_use_flow_rs || s0_use_flow_vec, s0_uop.pc, 0.U)
91  val s0_instr_type   = Mux(s0_use_flow_rs || s0_use_flow_vec, STORE_SOURCE.U, DCACHE_PREFETCH_SOURCE.U)
92  val s0_wlineflag    = Mux(s0_use_flow_rs, s0_uop.fuOpType === LSUOpType.cbo_zero, false.B)
93  val s0_out          = Wire(new LsPipelineBundle)
94  val s0_kill         = s0_uop.robIdx.needFlush(io.redirect)
95  val s0_can_go       = s1_ready
96  val s0_fire         = s0_valid && !s0_kill && s0_can_go
97  // vector
98  val s0_vecActive          = !s0_use_flow_vec || s0_vecstin.vecActive
99  val s0_flowPtr      = s0_vecstin.flowPtr
100  val s0_isLastElem   = s0_vecstin.isLastElem
101
102  // generate addr
103  // val saddr = s0_in.bits.src(0) + SignExt(s0_in.bits.uop.imm(11,0), VAddrBits)
104  val imm12 = WireInit(s0_uop.imm(11,0))
105  val saddr_lo = s0_stin.src(0)(11,0) + Cat(0.U(1.W), imm12)
106  val saddr_hi = Mux(saddr_lo(12),
107    Mux(imm12(11), s0_stin.src(0)(VAddrBits-1, 12), s0_stin.src(0)(VAddrBits-1, 12)+1.U),
108    Mux(imm12(11), s0_stin.src(0)(VAddrBits-1, 12)+SignExt(1.U, VAddrBits-12), s0_stin.src(0)(VAddrBits-1, 12)),
109  )
110  val s0_saddr = Cat(saddr_hi, saddr_lo(11,0))
111  val s0_vaddr = Mux(
112    s0_use_flow_rs,
113    s0_saddr,
114    Mux(
115      s0_use_flow_vec,
116      s0_vecstin.vaddr,
117      io.prefetch_req.bits.vaddr
118    )
119  )
120  val s0_mask  = Mux(
121    s0_use_flow_rs,
122    genVWmask(s0_saddr, s0_uop.fuOpType(1,0)),
123    Mux(
124      s0_use_flow_vec,
125      s0_vecstin.mask,
126      // -1.asSInt.asUInt
127      Fill(VLEN/8, 1.U(1.W))
128    )
129  )
130
131  io.tlb.req.valid                   := s0_valid
132  io.tlb.req.bits.vaddr              := s0_vaddr
133  io.tlb.req.bits.cmd                := TlbCmd.write
134  io.tlb.req.bits.size               := s0_size
135  io.tlb.req.bits.kill               := false.B
136  io.tlb.req.bits.memidx.is_ld       := false.B
137  io.tlb.req.bits.memidx.is_st       := true.B
138  io.tlb.req.bits.memidx.idx         := s0_mem_idx
139  io.tlb.req.bits.debug.robIdx       := s0_rob_idx
140  io.tlb.req.bits.no_translate       := false.B
141  io.tlb.req.bits.debug.pc           := s0_pc
142  io.tlb.req.bits.debug.isFirstIssue := s0_isFirstIssue
143  io.tlb.req_kill                    := false.B
144  io.tlb.req.bits.hyperinst          := LSUOpType.isHsv(s0_uop.fuOpType)
145  io.tlb.req.bits.hlvx               := false.B
146
147  // Dcache access here: not **real** dcache write
148  // just read meta and tag in dcache, to find out the store will hit or miss
149
150  // NOTE: The store request does not wait for the dcache to be ready.
151  //       If the dcache is not ready at this time, the dcache is not queried.
152  //       But, store prefetch request will always wait for dcache to be ready to make progress.
153  io.dcache.req.valid              := s0_fire
154  io.dcache.req.bits.cmd           := MemoryOpConstants.M_PFW
155  io.dcache.req.bits.vaddr         := s0_vaddr
156  io.dcache.req.bits.instrtype     := s0_instr_type
157
158  s0_out              := DontCare
159  s0_out.vaddr        := s0_vaddr
160  // Now data use its own io
161  // s1_out.data := genWdata(s1_in.src(1), s1_in.uop.fuOpType(1,0))
162  s0_out.data         := Mux(s0_use_flow_rs, s0_stin.src(1), s0_vecstin.src_vs3) // FIXME: remove data from pipeline
163  s0_out.uop          := s0_uop
164  s0_out.miss         := false.B
165  s0_out.rsIdx        := s0_rsIdx
166  s0_out.mask         := s0_mask
167  s0_out.isFirstIssue := s0_isFirstIssue
168  s0_out.isHWPrefetch := s0_use_flow_prf
169  s0_out.wlineflag    := s0_wlineflag
170  s0_out.isvec        := s0_use_flow_vec
171  s0_out.is128bit     := false.B
172  s0_out.vecActive    := s0_vecActive
173  s0_out.sflowPtr     := s0_flowPtr
174  s0_out.isLastElem   := s0_isLastElem
175  when(s0_valid && s0_isFirstIssue) {
176    s0_out.uop.debugInfo.tlbFirstReqTime := GTimer()
177  }
178
179  // exception check
180  val s0_addr_aligned = LookupTree(Mux(s0_use_flow_vec, s0_vecstin.alignedType, s0_uop.fuOpType(1, 0)), List(
181    "b00".U   -> true.B,              //b
182    "b01".U   -> (s0_out.vaddr(0) === 0.U),   //h
183    "b10".U   -> (s0_out.vaddr(1,0) === 0.U), //w
184    "b11".U   -> (s0_out.vaddr(2,0) === 0.U)  //d
185  ))
186  s0_out.uop.exceptionVec(storeAddrMisaligned) := Mux(s0_use_flow_rs || s0_use_flow_vec, !s0_addr_aligned, false.B)
187
188  io.st_mask_out.valid       := s0_use_flow_rs
189  io.st_mask_out.bits.mask   := s0_out.mask
190  io.st_mask_out.bits.sqIdx  := s0_out.uop.sqIdx
191
192  io.stin.ready := s1_ready && s0_use_flow_rs
193  io.vecstin.ready := s1_ready && s0_use_flow_vec
194  io.prefetch_req.ready := s1_ready && io.dcache.req.ready && !s0_iss_valid && !s0_vec_valid
195
196  // Pipeline
197  // --------------------------------------------------------------------------------
198  // stage 1
199  // --------------------------------------------------------------------------------
200  // TLB resp (send paddr to dcache)
201  val s1_valid  = RegInit(false.B)
202  val s1_in     = RegEnable(s0_out, s0_fire)
203  val s1_out    = Wire(new LsPipelineBundle)
204  val s1_kill   = Wire(Bool())
205  val s1_can_go = s2_ready
206  val s1_fire   = s1_valid && !s1_kill && s1_can_go
207  val s1_vecActive    = RegEnable(s0_out.vecActive, true.B, s0_fire)
208
209  // mmio cbo decoder
210  val s1_mmio_cbo  = s1_in.uop.fuOpType === LSUOpType.cbo_clean ||
211                     s1_in.uop.fuOpType === LSUOpType.cbo_flush ||
212                     s1_in.uop.fuOpType === LSUOpType.cbo_inval
213  val s1_paddr     = io.tlb.resp.bits.paddr(0)
214  val s1_gpaddr    = io.tlb.resp.bits.gpaddr(0)
215  val s1_tlb_miss  = io.tlb.resp.bits.miss
216  val s1_mmio      = s1_mmio_cbo
217  val s1_exception = ExceptionNO.selectByFu(s1_out.uop.exceptionVec, StaCfg).asUInt.orR
218  val s1_isvec     = RegEnable(s0_out.isvec, false.B, s0_fire)
219  val s1_isLastElem = RegEnable(s0_isLastElem, false.B, s0_fire)
220  s1_kill := s1_in.uop.robIdx.needFlush(io.redirect) || s1_tlb_miss
221
222  s1_ready := !s1_valid || s1_kill || s2_ready
223  io.tlb.resp.ready := true.B // TODO: why dtlbResp needs a ready?
224  when (s0_fire) { s1_valid := true.B }
225  .elsewhen (s1_fire) { s1_valid := false.B }
226  .elsewhen (s1_kill) { s1_valid := false.B }
227
228  // st-ld violation dectect request.
229  io.stld_nuke_query.valid       := s1_valid && !s1_tlb_miss && !s1_in.isHWPrefetch
230  io.stld_nuke_query.bits.robIdx := s1_in.uop.robIdx
231  io.stld_nuke_query.bits.paddr  := s1_paddr
232  io.stld_nuke_query.bits.mask   := s1_in.mask
233
234  // issue
235  io.issue.valid := s1_valid && !s1_tlb_miss && !s1_in.isHWPrefetch && !s1_isvec
236  io.issue.bits  := RegEnable(s0_stin, s0_valid)
237
238
239  // Send TLB feedback to store issue queue
240  // Store feedback is generated in store_s1, sent to RS in store_s2
241  val s1_feedback = Wire(Valid(new RSFeedback))
242  s1_feedback.valid                 := s1_valid & !s1_in.isHWPrefetch && !s1_isvec
243  s1_feedback.bits.hit              := !s1_tlb_miss
244  s1_feedback.bits.flushState       := io.tlb.resp.bits.ptwBack
245  s1_feedback.bits.robIdx           := s1_out.uop.robIdx
246  s1_feedback.bits.sourceType       := RSFeedbackType.tlbMiss
247  s1_feedback.bits.dataInvalidSqIdx := DontCare
248
249  XSDebug(s1_feedback.valid,
250    "S1 Store: tlbHit: %d robIdx: %d\n",
251    s1_feedback.bits.hit,
252    s1_feedback.bits.robIdx.value
253  )
254
255  val s1_vec_feedback = Wire(Valid(new VSFQFeedback))
256  s1_vec_feedback.valid                 := s1_valid && !s1_in.isHWPrefetch && s1_isvec
257  s1_vec_feedback.bits.flowPtr          := s1_out.sflowPtr
258  s1_vec_feedback.bits.hit              := !s1_tlb_miss
259  s1_vec_feedback.bits.sourceType       := RSFeedbackType.tlbMiss
260  s1_vec_feedback.bits.paddr            := s1_paddr
261  s1_vec_feedback.bits.mmio             := s1_mmio
262  s1_vec_feedback.bits.atomic           := s1_mmio
263  s1_vec_feedback.bits.exceptionVec     := s1_out.uop.exceptionVec
264  XSDebug(s1_vec_feedback.valid,
265    "Vector S1 Store: tlbHit: %d flowPtr: %d\n",
266    s1_vec_feedback.bits.hit,
267    s1_vec_feedback.bits.flowPtr.value
268  )
269
270  // io.feedback_slow := s1_feedback
271  // io.vec_feedback_slow := s1_vec_feedback
272
273  // get paddr from dtlb, check if rollback is needed
274  // writeback store inst to lsq
275  s1_out         := s1_in
276  s1_out.paddr   := s1_paddr
277  s1_out.gpaddr  := s1_gpaddr
278  s1_out.miss    := false.B
279  s1_out.mmio    := s1_mmio
280  s1_out.tlbMiss := s1_tlb_miss
281  s1_out.atomic  := s1_mmio
282  s1_out.uop.exceptionVec(storePageFault)      := io.tlb.resp.bits.excp(0).pf.st && s1_vecActive
283  s1_out.uop.exceptionVec(storeAccessFault)    := io.tlb.resp.bits.excp(0).af.st && s1_vecActive
284  s1_out.uop.exceptionVec(storeGuestPageFault) := io.tlb.resp.bits.excp(0).gpf.st && s1_vecActive
285
286  // scalar store and scalar load nuke check, and also other purposes
287  io.lsq.valid     := s1_valid && !s1_in.isHWPrefetch && !s1_isvec
288  io.lsq.bits      := s1_out
289  io.lsq.bits.miss := s1_tlb_miss
290  // vector store and scalar load nuke check
291  io.lsq_vec.valid := s1_valid && !s1_in.isHWPrefetch && s1_isvec
292  io.lsq_vec.bits  := s1_out
293  io.lsq_vec.bits.miss := s1_tlb_miss
294  io.lsq_vec.bits.isLastElem := s1_isLastElem
295
296  // kill dcache write intent request when tlb miss or exception
297  io.dcache.s1_kill  := (s1_tlb_miss || s1_exception || s1_mmio || s1_in.uop.robIdx.needFlush(io.redirect))
298  io.dcache.s1_paddr := s1_paddr
299
300  // write below io.out.bits assign sentence to prevent overwriting values
301  val s1_tlb_memidx = io.tlb.resp.bits.memidx
302  when(s1_tlb_memidx.is_st && io.tlb.resp.valid && !s1_tlb_miss && s1_tlb_memidx.idx === s1_out.uop.sqIdx.value) {
303    // printf("Store idx = %d\n", s1_tlb_memidx.idx)
304    s1_out.uop.debugInfo.tlbRespTime := GTimer()
305  }
306
307  // Pipeline
308  // --------------------------------------------------------------------------------
309  // stage 2
310  // --------------------------------------------------------------------------------
311  // mmio check
312  val s2_valid  = RegInit(false.B)
313  val s2_in     = RegEnable(s1_out, s1_fire)
314  val s2_out    = Wire(new LsPipelineBundle)
315  val s2_kill   = Wire(Bool())
316  val s2_can_go = s3_ready
317  val s2_fire   = s2_valid && !s2_kill && s2_can_go
318  val s2_vecActive    = RegEnable(s1_out.vecActive, true.B, s1_fire)
319
320  s2_ready := !s2_valid || s2_kill || s3_ready
321  when (s1_fire) { s2_valid := true.B }
322  .elsewhen (s2_fire) { s2_valid := false.B }
323  .elsewhen (s2_kill) { s2_valid := false.B }
324
325  val s2_pmp = WireInit(io.pmp)
326
327  val s2_exception = ExceptionNO.selectByFu(s2_out.uop.exceptionVec, StaCfg).asUInt.orR
328  val s2_mmio = s2_in.mmio || s2_pmp.mmio
329  s2_kill := (s2_mmio && !s2_exception) || s2_in.uop.robIdx.needFlush(io.redirect)
330
331  s2_out        := s2_in
332  s2_out.mmio   := s2_mmio && !s2_exception
333  s2_out.atomic := s2_in.atomic || s2_pmp.atomic
334  s2_out.uop.exceptionVec(storeAccessFault) := (s2_in.uop.exceptionVec(storeAccessFault) || s2_pmp.st) && s2_vecActive
335
336  // kill dcache write intent request when mmio or exception
337  io.dcache.s2_kill := (s2_mmio || s2_exception || s2_in.uop.robIdx.needFlush(io.redirect))
338  io.dcache.s2_pc   := s2_out.uop.pc
339  // TODO: dcache resp
340  io.dcache.resp.ready := true.B
341
342  // feedback tlb miss to RS in store_s2
343  io.feedback_slow.valid := RegNext(s1_feedback.valid && !s1_out.uop.robIdx.needFlush(io.redirect))
344  io.feedback_slow.bits  := RegNext(s1_feedback.bits)
345
346  // vector feedback
347  io.vec_feedback_slow.valid := RegNext(s1_vec_feedback.valid && !s1_out.uop.robIdx.needFlush(io.redirect))
348  io.vec_feedback_slow.bits  := RegNext(s1_vec_feedback.bits)
349  io.vec_feedback_slow.bits.mmio := s2_mmio && !s2_exception
350  io.vec_feedback_slow.bits.atomic := s2_in.atomic || s2_pmp.atomic
351
352  // mmio and exception
353  io.lsq_replenish := s2_out
354
355  // prefetch related
356  io.lsq_replenish.miss := io.dcache.resp.fire && io.dcache.resp.bits.miss // miss info
357
358  // RegNext prefetch train for better timing
359  // ** Now, prefetch train is valid at store s3 **
360  io.prefetch_train.bits.fromLsPipelineBundle(s2_in, latch = true)
361  // override miss bit
362  io.prefetch_train.bits.miss := RegNext(io.dcache.resp.bits.miss)
363  // TODO: add prefetch and access bit
364  io.prefetch_train.bits.meta_prefetch := false.B
365  io.prefetch_train.bits.meta_access := false.B
366  if(EnableStorePrefetchSMS) {
367    io.prefetch_train.valid := RegNext(s2_valid && io.dcache.resp.fire && !s2_out.mmio && !s2_in.tlbMiss && !s2_in.isHWPrefetch)
368  }else {
369    io.prefetch_train.valid := false.B
370  }
371
372  // Pipeline
373  // --------------------------------------------------------------------------------
374  // stage 3
375  // --------------------------------------------------------------------------------
376  // store write back
377  val s3_valid  = RegInit(false.B)
378  val s3_in     = RegEnable(s2_out, s2_fire)
379  val s3_out    = Wire(new MemExuOutput)
380  val s3_kill   = s3_in.uop.robIdx.needFlush(io.redirect)
381  val s3_can_go = s3_ready
382  val s3_fire   = s3_valid && !s3_kill && s3_can_go
383
384  when (s2_fire) { s3_valid := (!s2_mmio || s2_exception) && !s2_out.isHWPrefetch  }
385  .elsewhen (s3_fire) { s3_valid := false.B }
386  .elsewhen (s3_kill) { s3_valid := false.B }
387
388  // wb: writeback
389  val SelectGroupSize   = RollbackGroupSize
390  val lgSelectGroupSize = log2Ceil(SelectGroupSize)
391  val TotalSelectCycles = scala.math.ceil(log2Ceil(LoadQueueRAWSize).toFloat / lgSelectGroupSize).toInt + 1
392
393  s3_out                 := DontCare
394  s3_out.uop             := s3_in.uop
395  s3_out.data            := DontCare
396  s3_out.debug.isMMIO    := s3_in.mmio
397  s3_out.debug.paddr     := s3_in.paddr
398  s3_out.debug.vaddr     := s3_in.vaddr
399  s3_out.debug.isPerfCnt := false.B
400
401  // Pipeline
402  // --------------------------------------------------------------------------------
403  // stage x
404  // --------------------------------------------------------------------------------
405  // delay TotalSelectCycles - 2 cycle(s)
406  val TotalDelayCycles = TotalSelectCycles - 2
407  val sx_valid = Wire(Vec(TotalDelayCycles + 1, Bool()))
408  val sx_ready = Wire(Vec(TotalDelayCycles + 1, Bool()))
409  val sx_in    = Wire(Vec(TotalDelayCycles + 1, new MemExuOutput))
410
411  // backward ready signal
412  s3_ready := sx_ready.head
413  for (i <- 0 until TotalDelayCycles + 1) {
414    if (i == 0) {
415      sx_valid(i) := s3_valid
416      sx_in(i)    := s3_out
417      sx_ready(i) := !s3_valid(i) || sx_in(i).uop.robIdx.needFlush(io.redirect) || (if (TotalDelayCycles == 0) io.stout.ready else sx_ready(i+1))
418    } else {
419      val cur_kill   = sx_in(i).uop.robIdx.needFlush(io.redirect)
420      val cur_can_go = (if (i == TotalDelayCycles) io.stout.ready else sx_ready(i+1))
421      val cur_fire   = sx_valid(i) && !cur_kill && cur_can_go
422      val prev_fire  = sx_valid(i-1) && !sx_in(i-1).uop.robIdx.needFlush(io.redirect) && sx_ready(i)
423
424      sx_ready(i) := !sx_valid(i) || cur_kill || (if (i == TotalDelayCycles) io.stout.ready else sx_ready(i+1))
425      val sx_valid_can_go = prev_fire || cur_fire || cur_kill
426      sx_valid(i) := RegEnable(Mux(prev_fire, true.B, false.B), false.B, sx_valid_can_go)
427      sx_in(i) := RegEnable(sx_in(i-1), prev_fire)
428    }
429  }
430  val sx_last_valid = sx_valid.takeRight(1).head
431  val sx_last_ready = sx_ready.takeRight(1).head
432  val sx_last_in    = sx_in.takeRight(1).head
433  sx_last_ready := !sx_last_valid || sx_last_in.uop.robIdx.needFlush(io.redirect) || io.stout.ready
434
435  io.stout.valid := sx_last_valid && !sx_last_in.uop.robIdx.needFlush(io.redirect) && isStore(sx_last_in.uop.fuType)
436  io.stout.bits := sx_last_in
437
438  io.debug_ls := DontCare
439  io.debug_ls.s1_robIdx := s1_in.uop.robIdx.value
440  io.debug_ls.s1_isTlbFirstMiss := io.tlb.resp.valid && io.tlb.resp.bits.miss && io.tlb.resp.bits.debug.isFirstIssue && !s1_in.isHWPrefetch
441
442  private def printPipeLine(pipeline: LsPipelineBundle, cond: Bool, name: String): Unit = {
443    XSDebug(cond,
444      p"$name" + p" pc ${Hexadecimal(pipeline.uop.pc)} " +
445        p"addr ${Hexadecimal(pipeline.vaddr)} -> ${Hexadecimal(pipeline.paddr)} " +
446        p"op ${Binary(pipeline.uop.fuOpType)} " +
447        p"data ${Hexadecimal(pipeline.data)} " +
448        p"mask ${Hexadecimal(pipeline.mask)}\n"
449    )
450  }
451
452  printPipeLine(s0_out, s0_valid, "S0")
453  printPipeLine(s1_out, s1_valid, "S1")
454
455  // perf cnt
456  XSPerfAccumulate("s0_in_valid",                s0_valid)
457  XSPerfAccumulate("s0_in_fire",                 s0_fire)
458  XSPerfAccumulate("s0_in_fire_first_issue",     s0_fire && s0_isFirstIssue)
459  XSPerfAccumulate("s0_addr_spec_success",       s0_fire && !s0_use_flow_vec && s0_saddr(VAddrBits-1, 12) === s0_stin.src(0)(VAddrBits-1, 12))
460  XSPerfAccumulate("s0_addr_spec_failed",        s0_fire && !s0_use_flow_vec && s0_saddr(VAddrBits-1, 12) =/= s0_stin.src(0)(VAddrBits-1, 12))
461  XSPerfAccumulate("s0_addr_spec_success_once",  s0_fire && !s0_use_flow_vec && s0_saddr(VAddrBits-1, 12) === s0_stin.src(0)(VAddrBits-1, 12) && s0_isFirstIssue)
462  XSPerfAccumulate("s0_addr_spec_failed_once",   s0_fire && !s0_use_flow_vec && s0_saddr(VAddrBits-1, 12) =/= s0_stin.src(0)(VAddrBits-1, 12) && s0_isFirstIssue)
463
464  XSPerfAccumulate("s1_in_valid",                s1_valid)
465  XSPerfAccumulate("s1_in_fire",                 s1_fire)
466  XSPerfAccumulate("s1_in_fire_first_issue",     s1_fire && s1_in.isFirstIssue)
467  XSPerfAccumulate("s1_tlb_miss",                s1_fire && s1_tlb_miss)
468  XSPerfAccumulate("s1_tlb_miss_first_issue",    s1_fire && s1_tlb_miss && s1_in.isFirstIssue)
469  // end
470}