xref: /XiangShan/src/main/scala/xiangshan/mem/pipeline/StoreUnit.scala (revision 60ebee385ce85a25a994f6da0c84ecce9bb91bca)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.mem
18
19import chipsalliance.rocketchip.config.Parameters
20import chisel3._
21import chisel3.util._
22import utils._
23import utility._
24import xiangshan.ExceptionNO._
25import xiangshan._
26import xiangshan.backend.fu.PMPRespBundle
27import xiangshan.backend.rob.DebugLsInfoBundle
28import xiangshan.cache.mmu.{TlbCmd, TlbReq, TlbRequestIO, TlbResp}
29import xiangshan.cache.{DcacheStoreRequestIO, DCacheStoreIO, MemoryOpConstants, HasDCacheParameters, StorePrefetchReq}
30
31class StoreUnit(implicit p: Parameters) extends XSModule with HasDCacheParameters {
32  val io = IO(new Bundle() {
33    val redirect        = Flipped(ValidIO(new Redirect))
34    val stin            = Flipped(Decoupled(new ExuInput))
35    val issue           = Valid(new ExuInput)
36    val tlb             = new TlbRequestIO()
37    val dcache          = new DCacheStoreIO
38    val pmp             = Flipped(new PMPRespBundle())
39    val rsIdx           = Input(UInt(log2Up(IssQueSize).W))
40    val isFirstIssue    = Input(Bool())
41    val lsq             = ValidIO(new LsPipelineBundle)
42    val lsq_replenish   = Output(new LsPipelineBundle())
43    val feedback_slow   = ValidIO(new RSFeedback)
44    val prefetch_req    = Flipped(DecoupledIO(new StorePrefetchReq))
45    // provide prefetch info to sms
46    val prefetch_train  = ValidIO(new StPrefetchTrainBundle())
47    val stld_nuke_query = Valid(new StoreNukeQueryIO)
48    val stout           = DecoupledIO(new ExuOutput) // writeback store
49    // store mask, send to sq in store_s0
50    val st_mask_out     = Valid(new StoreMaskBundle)
51    val debug_ls        = Output(new DebugLsInfoBundle)
52  })
53
54  val s1_ready, s2_ready, s3_ready = WireInit(false.B)
55
56  // Pipeline
57  // --------------------------------------------------------------------------------
58  // stage 0
59  // --------------------------------------------------------------------------------
60  // generate addr, use addr to query DCache and DTLB
61  val s0_iss_valid    = io.stin.valid
62  val s0_prf_valid    = io.prefetch_req.valid && io.dcache.req.ready
63  val s0_valid        = s0_iss_valid || s0_prf_valid
64  val s0_use_flow_rs  = s0_iss_valid
65  val s0_use_flow_prf = !s0_iss_valid && s0_prf_valid
66  val s0_in           = Mux(s0_use_flow_rs, io.stin.bits, 0.U.asTypeOf(io.stin.bits))
67  val s0_isFirstIssue = Mux(s0_use_flow_rs, io.isFirstIssue, false.B)
68  val s0_rsIdx        = Mux(s0_use_flow_rs, io.rsIdx, 0.U)
69  val s0_size         = Mux(s0_use_flow_rs, LSUOpType.size(s0_in.uop.ctrl.fuOpType), 3.U)
70  val s0_mem_idx      = Mux(s0_use_flow_rs, s0_in.uop.sqIdx.value, 0.U)
71  val s0_rob_idx      = Mux(s0_use_flow_rs, s0_in.uop.robIdx, 0.U.asTypeOf(s0_in.uop.robIdx))
72  val s0_pc           = Mux(s0_use_flow_rs, s0_in.uop.cf.pc, 0.U)
73  val s0_instr_type   = Mux(s0_use_flow_rs, STORE_SOURCE.U, DCACHE_PREFETCH_SOURCE.U)
74  val s0_wlineflag    = Mux(s0_use_flow_rs, s0_in.uop.ctrl.fuOpType === LSUOpType.cbo_zero, false.B)
75  val s0_out          = Wire(new LsPipelineBundle)
76  val s0_kill         = s0_in.uop.robIdx.needFlush(io.redirect)
77  val s0_can_go       = s1_ready
78  val s0_fire         = s0_valid && !s0_kill && s0_can_go
79
80  // generate addr
81  // val saddr = s0_in.bits.src(0) + SignExt(s0_in.bits.uop.ctrl.imm(11,0), VAddrBits)
82  val imm12 = WireInit(s0_in.uop.ctrl.imm(11,0))
83  val saddr_lo = s0_in.src(0)(11,0) + Cat(0.U(1.W), imm12)
84  val saddr_hi = Mux(saddr_lo(12),
85    Mux(imm12(11), s0_in.src(0)(VAddrBits-1, 12), s0_in.src(0)(VAddrBits-1, 12)+1.U),
86    Mux(imm12(11), s0_in.src(0)(VAddrBits-1, 12)+SignExt(1.U, VAddrBits-12), s0_in.src(0)(VAddrBits-1, 12)),
87  )
88  val s0_saddr = Cat(saddr_hi, saddr_lo(11,0))
89  val s0_vaddr = Mux(s0_use_flow_rs, s0_saddr, io.prefetch_req.bits.vaddr)
90  val s0_mask  = Mux(s0_use_flow_rs, genVWmask(s0_saddr, s0_in.uop.ctrl.fuOpType(1,0)), 3.U)
91
92  io.tlb.req.valid                   := s0_valid
93  io.tlb.req.bits.vaddr              := s0_vaddr
94  io.tlb.req.bits.cmd                := TlbCmd.write
95  io.tlb.req.bits.size               := s0_size
96  io.tlb.req.bits.kill               := false.B
97  io.tlb.req.bits.memidx.is_ld       := false.B
98  io.tlb.req.bits.memidx.is_st       := true.B
99  io.tlb.req.bits.memidx.idx         := s0_mem_idx
100  io.tlb.req.bits.debug.robIdx       := s0_rob_idx
101  io.tlb.req.bits.no_translate       := false.B
102  io.tlb.req.bits.debug.pc           := s0_pc
103  io.tlb.req.bits.debug.isFirstIssue := s0_isFirstIssue
104  io.tlb.req_kill                    := false.B
105
106  // Dcache access here: not **real** dcache write
107  // just read meta and tag in dcache, to find out the store will hit or miss
108
109  // NOTE: The store request does not wait for the dcache to be ready.
110  //       If the dcache is not ready at this time, the dcache is not queried.
111  //       But, store prefetch request will always wait for dcache to be ready to make progress.
112  io.dcache.req.valid              := s0_fire
113  io.dcache.req.bits.cmd           := MemoryOpConstants.M_PFW
114  io.dcache.req.bits.vaddr         := s0_vaddr
115  io.dcache.req.bits.instrtype     := s0_instr_type
116
117  s0_out              := DontCare
118  s0_out.vaddr        := s0_vaddr
119  // Now data use its own io
120  // s1_out.data := genWdata(s1_in.src(1), s1_in.uop.ctrl.fuOpType(1,0))
121  s0_out.data         := s0_in.src(1) // FIXME: remove data from pipeline
122  s0_out.uop          := s0_in.uop
123  s0_out.miss         := false.B
124  s0_out.rsIdx        := s0_rsIdx
125  s0_out.mask         := s0_mask
126  s0_out.isFirstIssue := s0_isFirstIssue
127  s0_out.isHWPrefetch := s0_use_flow_prf
128  s0_out.wlineflag    := s0_wlineflag
129  when(s0_valid && s0_isFirstIssue) {
130    s0_out.uop.debugInfo.tlbFirstReqTime := GTimer()
131  }
132
133  // exception check
134  val s0_addr_aligned = LookupTree(s0_in.uop.ctrl.fuOpType(1,0), List(
135    "b00".U   -> true.B,              //b
136    "b01".U   -> (s0_out.vaddr(0) === 0.U),   //h
137    "b10".U   -> (s0_out.vaddr(1,0) === 0.U), //w
138    "b11".U   -> (s0_out.vaddr(2,0) === 0.U)  //d
139  ))
140  s0_out.uop.cf.exceptionVec(storeAddrMisaligned) := Mux(s0_use_flow_rs, !s0_addr_aligned, false.B)
141
142  io.st_mask_out.valid       := s0_use_flow_rs
143  io.st_mask_out.bits.mask   := s0_out.mask
144  io.st_mask_out.bits.sqIdx  := s0_out.uop.sqIdx
145
146  io.stin.ready := s1_ready
147  io.prefetch_req.ready := s1_ready && io.dcache.req.ready && !s0_iss_valid
148
149  // Pipeline
150  // --------------------------------------------------------------------------------
151  // stage 1
152  // --------------------------------------------------------------------------------
153  // TLB resp (send paddr to dcache)
154  val s1_valid  = RegInit(false.B)
155  val s1_in     = RegEnable(s0_out, s0_fire)
156  val s1_out    = Wire(new LsPipelineBundle)
157  val s1_kill   = Wire(Bool())
158  val s1_can_go = s2_ready
159  val s1_fire   = s1_valid && !s1_kill && s1_can_go
160
161  // mmio cbo decoder
162  val s1_mmio_cbo  = s1_in.uop.ctrl.fuOpType === LSUOpType.cbo_clean ||
163                     s1_in.uop.ctrl.fuOpType === LSUOpType.cbo_flush ||
164                     s1_in.uop.ctrl.fuOpType === LSUOpType.cbo_inval
165  val s1_paddr     = io.tlb.resp.bits.paddr(0)
166  val s1_tlb_miss  = io.tlb.resp.bits.miss
167  val s1_mmio      = s1_mmio_cbo
168  val s1_exception = ExceptionNO.selectByFu(s1_out.uop.cf.exceptionVec, staCfg).asUInt.orR
169  s1_kill := s1_in.uop.robIdx.needFlush(io.redirect) || s1_tlb_miss
170
171  s1_ready := !s1_valid || s1_kill || s2_ready
172  io.tlb.resp.ready := true.B // TODO: why dtlbResp needs a ready?
173  when (s0_fire) { s1_valid := true.B }
174  .elsewhen (s1_fire) { s1_valid := false.B }
175  .elsewhen (s1_kill) { s1_valid := false.B }
176
177  // st-ld violation dectect request.
178  io.stld_nuke_query.valid       := s1_valid && !s1_tlb_miss && !s1_in.isHWPrefetch
179  io.stld_nuke_query.bits.robIdx := s1_in.uop.robIdx
180  io.stld_nuke_query.bits.paddr  := s1_paddr
181  io.stld_nuke_query.bits.mask   := s1_in.mask
182
183  // issue
184  io.issue.valid := s1_valid && !s1_tlb_miss && !s1_in.isHWPrefetch
185  io.issue.bits  := RegEnable(s0_in, s0_valid)
186
187
188  // Send TLB feedback to store issue queue
189  // Store feedback is generated in store_s1, sent to RS in store_s2
190  val s1_feedback = Wire(Valid(new RSFeedback))
191  s1_feedback.valid                 := s1_valid & !s1_in.isHWPrefetch
192  s1_feedback.bits.hit              := !s1_tlb_miss
193  s1_feedback.bits.flushState       := io.tlb.resp.bits.ptwBack
194  s1_feedback.bits.rsIdx            := s1_out.rsIdx
195  s1_feedback.bits.sourceType       := RSFeedbackType.tlbMiss
196  s1_feedback.bits.dataInvalidSqIdx := DontCare
197  XSDebug(s1_feedback.valid,
198    "S1 Store: tlbHit: %d robIdx: %d\n",
199    s1_feedback.bits.hit,
200    s1_feedback.bits.rsIdx
201  )
202
203  io.feedback_slow := s1_feedback
204
205  // get paddr from dtlb, check if rollback is needed
206  // writeback store inst to lsq
207  s1_out         := s1_in
208  s1_out.paddr   := s1_paddr
209  s1_out.miss    := false.B
210  s1_out.mmio    := s1_mmio
211  s1_out.tlbMiss := s1_tlb_miss
212  s1_out.atomic  := s1_mmio
213  s1_out.uop.cf.exceptionVec(storePageFault)   := io.tlb.resp.bits.excp(0).pf.st
214  s1_out.uop.cf.exceptionVec(storeAccessFault) := io.tlb.resp.bits.excp(0).af.st
215
216  io.lsq.valid     := s1_valid && !s1_in.isHWPrefetch
217  io.lsq.bits      := s1_out
218  io.lsq.bits.miss := s1_tlb_miss
219
220  // kill dcache write intent request when tlb miss or exception
221  io.dcache.s1_kill  := (s1_tlb_miss || s1_exception || s1_mmio || s1_in.uop.robIdx.needFlush(io.redirect))
222  io.dcache.s1_paddr := s1_paddr
223
224  // write below io.out.bits assign sentence to prevent overwriting values
225  val s1_tlb_memidx = io.tlb.resp.bits.memidx
226  when(s1_tlb_memidx.is_st && io.tlb.resp.valid && !s1_tlb_miss && s1_tlb_memidx.idx === s1_out.uop.sqIdx.value) {
227    // printf("Store idx = %d\n", s1_tlb_memidx.idx)
228    s1_out.uop.debugInfo.tlbRespTime := GTimer()
229  }
230
231  // Pipeline
232  // --------------------------------------------------------------------------------
233  // stage 2
234  // --------------------------------------------------------------------------------
235  // mmio check
236  val s2_valid  = RegInit(false.B)
237  val s2_in     = RegEnable(s1_out, s1_fire)
238  val s2_out    = Wire(new LsPipelineBundle)
239  val s2_kill   = Wire(Bool())
240  val s2_can_go = s3_ready
241  val s2_fire   = s2_valid && !s2_kill && s2_can_go
242
243  s2_ready := !s2_valid || s2_kill || s3_ready
244  when (s1_fire) { s2_valid := true.B }
245  .elsewhen (s2_fire) { s2_valid := false.B }
246  .elsewhen (s2_kill) { s2_valid := false.B }
247
248  val s2_pmp = WireInit(io.pmp)
249
250  val s2_exception = ExceptionNO.selectByFu(s2_out.uop.cf.exceptionVec, staCfg).asUInt.orR
251  val s2_mmio = s2_in.mmio || s2_pmp.mmio
252  s2_kill := (s2_mmio && !s2_exception) || s2_in.uop.robIdx.needFlush(io.redirect)
253
254  s2_out        := s2_in
255  s2_out.mmio   := s2_mmio && !s2_exception
256  s2_out.atomic := s2_in.atomic || s2_pmp.atomic
257  s2_out.uop.cf.exceptionVec(storeAccessFault) := s2_in.uop.cf.exceptionVec(storeAccessFault) || s2_pmp.st
258
259  // kill dcache write intent request when mmio or exception
260  io.dcache.s2_kill := (s2_mmio || s2_exception || s2_in.uop.robIdx.needFlush(io.redirect))
261  io.dcache.s2_pc   := s2_out.uop.cf.pc
262  // TODO: dcache resp
263  io.dcache.resp.ready := true.B
264
265  // feedback tlb miss to RS in store_s2
266  io.feedback_slow.valid := RegNext(s1_feedback.valid && !s1_out.uop.robIdx.needFlush(io.redirect))
267  io.feedback_slow.bits  := RegNext(s1_feedback.bits)
268
269  // mmio and exception
270  io.lsq_replenish := s2_out
271
272  // prefetch related
273  io.lsq_replenish.miss := io.dcache.resp.fire && io.dcache.resp.bits.miss // miss info
274
275  io.prefetch_train.bits.fromLsPipelineBundle(s2_in)
276  // override miss bit
277  io.prefetch_train.bits.miss := io.dcache.resp.bits.miss
278  // TODO: add prefetch and access bit
279  io.prefetch_train.bits.meta_prefetch := false.B
280  io.prefetch_train.bits.meta_access := false.B
281  if(EnableStorePrefetchSMS) {
282    io.prefetch_train.valid := s2_valid && io.dcache.resp.fire && !s2_out.mmio && !s2_in.tlbMiss && !s2_in.isHWPrefetch
283  }else {
284    io.prefetch_train.valid := false.B
285  }
286
287  // Pipeline
288  // --------------------------------------------------------------------------------
289  // stage 3
290  // --------------------------------------------------------------------------------
291  // store write back
292  val s3_valid  = RegInit(false.B)
293  val s3_in     = RegEnable(s2_out, s2_fire)
294  val s3_out    = Wire(new ExuOutput)
295  val s3_kill   = s3_in.uop.robIdx.needFlush(io.redirect)
296  val s3_can_go = s3_ready
297  val s3_fire   = s3_valid && !s3_kill && s3_can_go
298
299  when (s2_fire) { s3_valid := (!s2_mmio || s2_exception) && !s2_out.isHWPrefetch  }
300  .elsewhen (s3_fire) { s3_valid := false.B }
301  .elsewhen (s3_kill) { s3_valid := false.B }
302
303  // wb: writeback
304  val SelectGroupSize   = RollbackGroupSize
305  val lgSelectGroupSize = log2Ceil(SelectGroupSize)
306  val TotalSelectCycles = scala.math.ceil(log2Ceil(LoadQueueRAWSize).toFloat / lgSelectGroupSize).toInt + 1
307
308  s3_out                 := DontCare
309  s3_out.uop             := s3_in.uop
310  s3_out.data            := DontCare
311  s3_out.redirectValid   := false.B
312  s3_out.redirect        := DontCare
313  s3_out.debug.isMMIO    := s3_in.mmio
314  s3_out.debug.paddr     := s3_in.paddr
315  s3_out.debug.vaddr     := s3_in.vaddr
316  s3_out.debug.isPerfCnt := false.B
317  s3_out.fflags          := DontCare
318
319  // Pipeline
320  // --------------------------------------------------------------------------------
321  // stage x
322  // --------------------------------------------------------------------------------
323  // delay TotalSelectCycles - 2 cycle(s)
324  val TotalDelayCycles = TotalSelectCycles - 2
325  val sx_valid = Wire(Vec(TotalDelayCycles + 1, Bool()))
326  val sx_ready = Wire(Vec(TotalDelayCycles + 1, Bool()))
327  val sx_in    = Wire(Vec(TotalDelayCycles + 1, new ExuOutput))
328
329  // backward ready signal
330  s3_ready := sx_ready.head
331  for (i <- 0 until TotalDelayCycles + 1) {
332    if (i == 0) {
333      sx_valid(i) := s3_valid
334      sx_in(i)    := s3_out
335      sx_ready(i) := !s3_valid(i) || sx_in(i).uop.robIdx.needFlush(io.redirect) || (if (TotalDelayCycles == 0) io.stout.ready else sx_ready(i+1))
336    } else {
337      val cur_kill   = sx_in(i).uop.robIdx.needFlush(io.redirect)
338      val cur_can_go = (if (i == TotalDelayCycles) io.stout.ready else sx_ready(i+1))
339      val cur_fire   = sx_valid(i) && !cur_kill && cur_can_go
340      val prev_fire  = sx_valid(i-1) && !sx_in(i-1).uop.robIdx.needFlush(io.redirect) && sx_ready(i)
341
342      sx_ready(i) := !sx_valid(i) || cur_kill || (if (i == TotalDelayCycles) io.stout.ready else sx_ready(i+1))
343      val sx_valid_can_go = prev_fire || cur_fire || cur_kill
344      sx_valid(i) := RegEnable(Mux(prev_fire, true.B, false.B), sx_valid_can_go)
345      sx_in(i) := RegEnable(sx_in(i-1), prev_fire)
346    }
347  }
348  val sx_last_valid = sx_valid.takeRight(1).head
349  val sx_last_ready = sx_ready.takeRight(1).head
350  val sx_last_in    = sx_in.takeRight(1).head
351  sx_last_ready := !sx_last_valid || sx_last_in.uop.robIdx.needFlush(io.redirect) || io.stout.ready
352
353  io.stout.valid := sx_last_valid && !sx_last_in.uop.robIdx.needFlush(io.redirect)
354  io.stout.bits := sx_last_in
355
356  io.debug_ls := DontCare
357  io.debug_ls.s1.isTlbFirstMiss := io.tlb.resp.valid && io.tlb.resp.bits.miss && io.tlb.resp.bits.debug.isFirstIssue && !s1_in.isHWPrefetch
358  io.debug_ls.s1_robIdx := s1_in.uop.robIdx.value
359
360  private def printPipeLine(pipeline: LsPipelineBundle, cond: Bool, name: String): Unit = {
361    XSDebug(cond,
362      p"$name" + p" pc ${Hexadecimal(pipeline.uop.cf.pc)} " +
363        p"addr ${Hexadecimal(pipeline.vaddr)} -> ${Hexadecimal(pipeline.paddr)} " +
364        p"op ${Binary(pipeline.uop.ctrl.fuOpType)} " +
365        p"data ${Hexadecimal(pipeline.data)} " +
366        p"mask ${Hexadecimal(pipeline.mask)}\n"
367    )
368  }
369
370  printPipeLine(s0_out, s0_valid, "S0")
371  printPipeLine(s1_out, s1_valid, "S1")
372
373  // perf cnt
374  XSPerfAccumulate("s0_in_valid",                s0_valid)
375  XSPerfAccumulate("s0_in_fire",                 s0_fire)
376  XSPerfAccumulate("s0_in_fire_first_issue",     s0_fire && s0_isFirstIssue)
377  XSPerfAccumulate("s0_addr_spec_success",       s0_fire && s0_saddr(VAddrBits-1, 12) === s0_in.src(0)(VAddrBits-1, 12))
378  XSPerfAccumulate("s0_addr_spec_failed",        s0_fire && s0_saddr(VAddrBits-1, 12) =/= s0_in.src(0)(VAddrBits-1, 12))
379  XSPerfAccumulate("s0_addr_spec_success_once",  s0_fire && s0_saddr(VAddrBits-1, 12) === s0_in.src(0)(VAddrBits-1, 12) && s0_isFirstIssue)
380  XSPerfAccumulate("s0_addr_spec_failed_once",   s0_fire && s0_saddr(VAddrBits-1, 12) =/= s0_in.src(0)(VAddrBits-1, 12) && s0_isFirstIssue)
381
382  XSPerfAccumulate("s1_in_valid",                s1_valid)
383  XSPerfAccumulate("s1_in_fire",                 s1_fire)
384  XSPerfAccumulate("s1_in_fire_first_issue",     s1_fire && s1_in.isFirstIssue)
385  XSPerfAccumulate("s1_tlb_miss",                s1_fire && s1_tlb_miss)
386  XSPerfAccumulate("s1_tlb_miss_first_issue",    s1_fire && s1_tlb_miss && s1_in.isFirstIssue)
387  // end
388}