xref: /XiangShan/src/main/scala/xiangshan/mem/pipeline/StoreUnit.scala (revision 5c5bd416ce761d956348a8e2fbbf268922371d8b)
1package xiangshan.mem
2
3import chisel3._
4import chisel3.util._
5import utils._
6import xiangshan._
7import xiangshan.backend.decode.ImmUnion
8import xiangshan.cache._
9
10// Store Pipeline Stage 0
11// Generate addr, use addr to query DCache and DTLB
12class StoreUnit_S0 extends XSModule {
13  val io = IO(new Bundle() {
14    val in = Flipped(Decoupled(new ExuInput))
15    val rsIdx = Input(UInt(log2Up(IssQueSize).W))
16    val isFirstIssue = Input(Bool())
17    val out = Decoupled(new LsPipelineBundle)
18    val dtlbReq = DecoupledIO(new TlbReq)
19  })
20
21  // send req to dtlb
22  // val saddr = io.in.bits.src1 + SignExt(io.in.bits.uop.ctrl.imm(11,0), VAddrBits)
23  val imm12 = WireInit(io.in.bits.uop.ctrl.imm(11,0))
24  val saddr_lo = io.in.bits.src1(11,0) + Cat(0.U(1.W), imm12)
25  val saddr_hi = Mux(saddr_lo(12),
26    Mux(imm12(11), io.in.bits.src1(VAddrBits-1, 12), io.in.bits.src1(VAddrBits-1, 12)+1.U),
27    Mux(imm12(11), io.in.bits.src1(VAddrBits-1, 12)+SignExt(1.U, VAddrBits-12), io.in.bits.src1(VAddrBits-1, 12)),
28  )
29  val saddr = Cat(saddr_hi, saddr_lo(11,0))
30
31  io.dtlbReq.bits.vaddr := saddr
32  io.dtlbReq.valid := io.in.valid
33  io.dtlbReq.bits.cmd := TlbCmd.write
34  io.dtlbReq.bits.roqIdx := io.in.bits.uop.roqIdx
35  io.dtlbReq.bits.debug.pc := io.in.bits.uop.cf.pc
36  io.dtlbReq.bits.debug.isFirstIssue := io.isFirstIssue
37
38  io.out.bits := DontCare
39  io.out.bits.vaddr := saddr
40
41  io.out.bits.data := genWdata(io.in.bits.src2, io.in.bits.uop.ctrl.fuOpType(1,0))
42  io.out.bits.uop := io.in.bits.uop
43  io.out.bits.miss := DontCare
44  io.out.bits.rsIdx := io.rsIdx
45  io.out.bits.mask := genWmask(io.out.bits.vaddr, io.in.bits.uop.ctrl.fuOpType(1,0))
46  io.out.valid := io.in.valid
47  io.in.ready := io.out.ready
48
49  // exception check
50  val addrAligned = LookupTree(io.in.bits.uop.ctrl.fuOpType(1,0), List(
51    "b00".U   -> true.B,              //b
52    "b01".U   -> (io.out.bits.vaddr(0) === 0.U),   //h
53    "b10".U   -> (io.out.bits.vaddr(1,0) === 0.U), //w
54    "b11".U   -> (io.out.bits.vaddr(2,0) === 0.U)  //d
55  ))
56  io.out.bits.uop.cf.exceptionVec(storeAddrMisaligned) := !addrAligned
57
58}
59
60// Load Pipeline Stage 1
61// TLB resp (send paddr to dcache)
62class StoreUnit_S1 extends XSModule {
63  val io = IO(new Bundle() {
64    val in = Flipped(Decoupled(new LsPipelineBundle))
65    val out = Decoupled(new LsPipelineBundle)
66    val lsq = ValidIO(new LsPipelineBundle)
67    val dtlbResp = Flipped(DecoupledIO(new TlbResp))
68    val tlbFeedback = ValidIO(new TlbFeedback)
69  })
70
71  val s1_paddr = io.dtlbResp.bits.paddr
72  val s1_tlb_miss = io.dtlbResp.bits.miss
73  val s1_mmio = io.dtlbResp.bits.mmio
74  val s1_exception = selectStore(io.out.bits.uop.cf.exceptionVec, false).asUInt.orR
75
76  io.in.ready := true.B
77
78  io.dtlbResp.ready := true.B // TODO: why dtlbResp needs a ready?
79
80  // Send TLB feedback to store issue queue
81  io.tlbFeedback.valid := io.in.valid
82  io.tlbFeedback.bits.hit := !s1_tlb_miss
83  io.tlbFeedback.bits.flushState := io.dtlbResp.bits.ptwBack
84  io.tlbFeedback.bits.rsIdx := io.in.bits.rsIdx
85  XSDebug(io.tlbFeedback.valid,
86    "S1 Store: tlbHit: %d roqIdx: %d\n",
87    io.tlbFeedback.bits.hit,
88    io.tlbFeedback.bits.rsIdx
89  )
90
91
92  // get paddr from dtlb, check if rollback is needed
93  // writeback store inst to lsq
94  io.lsq.valid := io.in.valid && !s1_tlb_miss
95  io.lsq.bits := io.in.bits
96  io.lsq.bits.paddr := s1_paddr
97  io.lsq.bits.miss := false.B
98  io.lsq.bits.mmio := s1_mmio && !s1_exception
99  io.lsq.bits.uop.cf.exceptionVec(storePageFault) := io.dtlbResp.bits.excp.pf.st
100  io.lsq.bits.uop.cf.exceptionVec(storeAccessFault) := io.dtlbResp.bits.excp.af.st
101
102  // mmio inst with exception will be writebacked immediately
103  io.out.valid := io.in.valid && (!io.out.bits.mmio || s1_exception) && !s1_tlb_miss
104  io.out.bits := io.lsq.bits
105}
106
107class StoreUnit_S2 extends XSModule {
108  val io = IO(new Bundle() {
109    val in = Flipped(Decoupled(new LsPipelineBundle))
110    val out = Decoupled(new LsPipelineBundle)
111  })
112
113  io.in.ready := true.B
114  io.out.bits := io.in.bits
115  io.out.valid := io.in.valid
116
117}
118
119class StoreUnit_S3 extends XSModule {
120  val io = IO(new Bundle() {
121    val in = Flipped(Decoupled(new LsPipelineBundle))
122    val stout = DecoupledIO(new ExuOutput) // writeback store
123  })
124
125  io.in.ready := true.B
126
127  io.stout.valid := io.in.valid
128  io.stout.bits.uop := io.in.bits.uop
129  io.stout.bits.data := DontCare
130  io.stout.bits.redirectValid := false.B
131  io.stout.bits.redirect := DontCare
132  io.stout.bits.debug.isMMIO := io.in.bits.mmio
133  io.stout.bits.debug.paddr := DontCare
134  io.stout.bits.debug.isPerfCnt := false.B
135  io.stout.bits.fflags := DontCare
136
137}
138
139class StoreUnit extends XSModule {
140  val io = IO(new Bundle() {
141    val stin = Flipped(Decoupled(new ExuInput))
142    val redirect = Flipped(ValidIO(new Redirect))
143    val flush = Input(Bool())
144    val tlbFeedback = ValidIO(new TlbFeedback)
145    val dtlb = new TlbRequestIO()
146    val rsIdx = Input(UInt(log2Up(IssQueSize).W))
147    val isFirstIssue = Input(Bool())
148    val lsq = ValidIO(new LsPipelineBundle)
149    val stout = DecoupledIO(new ExuOutput) // writeback store
150  })
151
152  val store_s0 = Module(new StoreUnit_S0)
153  val store_s1 = Module(new StoreUnit_S1)
154  val store_s2 = Module(new StoreUnit_S2)
155  val store_s3 = Module(new StoreUnit_S3)
156
157  store_s0.io.in <> io.stin
158  store_s0.io.dtlbReq <> io.dtlb.req
159  store_s0.io.rsIdx := io.rsIdx
160  store_s0.io.isFirstIssue := io.isFirstIssue
161
162  PipelineConnect(store_s0.io.out, store_s1.io.in, true.B, store_s0.io.out.bits.uop.roqIdx.needFlush(io.redirect, io.flush))
163
164  store_s1.io.lsq <> io.lsq // send result to sq
165  store_s1.io.dtlbResp <> io.dtlb.resp
166  store_s1.io.tlbFeedback <> io.tlbFeedback
167
168  PipelineConnect(store_s1.io.out, store_s2.io.in, true.B, store_s1.io.out.bits.uop.roqIdx.needFlush(io.redirect, io.flush))
169
170  PipelineConnect(store_s2.io.out, store_s3.io.in, true.B, store_s2.io.out.bits.uop.roqIdx.needFlush(io.redirect, io.flush))
171
172  store_s3.io.stout <> io.stout
173
174  private def printPipeLine(pipeline: LsPipelineBundle, cond: Bool, name: String): Unit = {
175    XSDebug(cond,
176      p"$name" + p" pc ${Hexadecimal(pipeline.uop.cf.pc)} " +
177        p"addr ${Hexadecimal(pipeline.vaddr)} -> ${Hexadecimal(pipeline.paddr)} " +
178        p"op ${Binary(pipeline.uop.ctrl.fuOpType)} " +
179        p"data ${Hexadecimal(pipeline.data)} " +
180        p"mask ${Hexadecimal(pipeline.mask)}\n"
181    )
182  }
183
184  printPipeLine(store_s0.io.out.bits, store_s0.io.out.valid, "S0")
185  printPipeLine(store_s1.io.out.bits, store_s1.io.out.valid, "S1")
186
187}
188