xref: /XiangShan/src/main/scala/xiangshan/mem/pipeline/LoadUnit.scala (revision b03c55a5df5dc8793cb44b42dd60141566e57e78)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.mem
18
19import org.chipsalliance.cde.config.Parameters
20import chisel3._
21import chisel3.util._
22import utils._
23import utility._
24import xiangshan.ExceptionNO._
25import xiangshan._
26import xiangshan.backend.Bundles.{DynInst, MemExuInput, MemExuOutput}
27import xiangshan.backend.fu.PMPRespBundle
28import xiangshan.backend.fu.FuConfig._
29import xiangshan.backend.ctrlblock.{DebugLsInfoBundle, LsTopdownInfo}
30import xiangshan.backend.rob.RobPtr
31import xiangshan.backend.ctrlblock.DebugLsInfoBundle
32import xiangshan.backend.fu.util.SdtrigExt
33
34import xiangshan.cache._
35import xiangshan.cache.wpu.ReplayCarry
36import xiangshan.cache.mmu._
37import xiangshan.mem.mdp._
38
39class LoadToLsqReplayIO(implicit p: Parameters) extends XSBundle
40  with HasDCacheParameters
41  with HasTlbConst
42{
43  // mshr refill index
44  val mshr_id         = UInt(log2Up(cfg.nMissEntries).W)
45  // get full data from store queue and sbuffer
46  val full_fwd        = Bool()
47  // wait for data from store inst's store queue index
48  val data_inv_sq_idx = new SqPtr
49  // wait for address from store queue index
50  val addr_inv_sq_idx = new SqPtr
51  // replay carry
52  val rep_carry       = new ReplayCarry(nWays)
53  // data in last beat
54  val last_beat       = Bool()
55  // replay cause
56  val cause           = Vec(LoadReplayCauses.allCauses, Bool())
57  // performance debug information
58  val debug           = new PerfDebugInfo
59  // tlb hint
60  val tlb_id          = UInt(log2Up(loadfiltersize).W)
61  val tlb_full        = Bool()
62
63  // alias
64  def mem_amb       = cause(LoadReplayCauses.C_MA)
65  def tlb_miss      = cause(LoadReplayCauses.C_TM)
66  def fwd_fail      = cause(LoadReplayCauses.C_FF)
67  def dcache_rep    = cause(LoadReplayCauses.C_DR)
68  def dcache_miss   = cause(LoadReplayCauses.C_DM)
69  def wpu_fail      = cause(LoadReplayCauses.C_WF)
70  def bank_conflict = cause(LoadReplayCauses.C_BC)
71  def rar_nack      = cause(LoadReplayCauses.C_RAR)
72  def raw_nack      = cause(LoadReplayCauses.C_RAW)
73  def nuke          = cause(LoadReplayCauses.C_NK)
74  def need_rep      = cause.asUInt.orR
75}
76
77
78class LoadToLsqIO(implicit p: Parameters) extends XSBundle {
79  val ldin            = DecoupledIO(new LqWriteBundle)
80  val uncache         = Flipped(DecoupledIO(new MemExuOutput))
81  val ld_raw_data     = Input(new LoadDataFromLQBundle)
82  val forward         = new PipeLoadForwardQueryIO
83  val stld_nuke_query = new LoadNukeQueryIO
84  val ldld_nuke_query = new LoadNukeQueryIO
85  val trigger         = Flipped(new LqTriggerIO)
86}
87
88class LoadToLoadIO(implicit p: Parameters) extends XSBundle {
89  val valid      = Bool()
90  val data       = UInt(XLEN.W) // load to load fast path is limited to ld (64 bit) used as vaddr src1 only
91  val dly_ld_err = Bool()
92}
93
94class LoadUnitTriggerIO(implicit p: Parameters) extends XSBundle {
95  val tdata2      = Input(UInt(64.W))
96  val matchType   = Input(UInt(2.W))
97  val tEnable     = Input(Bool()) // timing is calculated before this
98  val addrHit     = Output(Bool())
99}
100
101class LoadUnit(implicit p: Parameters) extends XSModule
102  with HasLoadHelper
103  with HasPerfEvents
104  with HasDCacheParameters
105  with HasCircularQueuePtrHelper
106  with HasVLSUParameters
107  with SdtrigExt
108{
109  val io = IO(new Bundle() {
110    // control
111    val redirect      = Flipped(ValidIO(new Redirect))
112    val csrCtrl       = Flipped(new CustomCSRCtrlIO)
113
114    // int issue path
115    val ldin          = Flipped(Decoupled(new MemExuInput))
116    val ldout         = Decoupled(new MemExuOutput)
117
118    // vec issue path
119    val vecldin = Flipped(Decoupled(new VecPipeBundle))
120    val vecldout = Decoupled(new VecPipelineFeedbackIO(isVStore = false))
121
122    // data path
123    val tlb           = new TlbRequestIO(2)
124    val pmp           = Flipped(new PMPRespBundle()) // arrive same to tlb now
125    val dcache        = new DCacheLoadIO
126    val sbuffer       = new LoadForwardQueryIO
127    val lsq           = new LoadToLsqIO
128    val tl_d_channel  = Input(new DcacheToLduForwardIO)
129    val forward_mshr  = Flipped(new LduToMissqueueForwardIO)
130   // val refill        = Flipped(ValidIO(new Refill))
131    val l2_hint       = Input(Valid(new L2ToL1Hint))
132    val tlb_hint      = Flipped(new TlbHintReq)
133    // fast wakeup
134    // TODO: implement vector fast wakeup
135    val fast_uop = ValidIO(new DynInst) // early wakeup signal generated in load_s1, send to RS in load_s2
136
137    // trigger
138    val trigger = Vec(TriggerNum, new LoadUnitTriggerIO)
139
140    // prefetch
141    val prefetch_train            = ValidIO(new LdPrefetchTrainBundle()) // provide prefetch info to sms
142    val prefetch_train_l1         = ValidIO(new LdPrefetchTrainBundle()) // provide prefetch info to stream & stride
143    // speculative for gated control
144    val s1_prefetch_spec = Output(Bool())
145    val s2_prefetch_spec = Output(Bool())
146
147    val prefetch_req              = Flipped(ValidIO(new L1PrefetchReq)) // hardware prefetch to l1 cache req
148    val canAcceptLowConfPrefetch  = Output(Bool())
149    val canAcceptHighConfPrefetch = Output(Bool())
150
151    // load to load fast path
152    val l2l_fwd_in    = Input(new LoadToLoadIO)
153    val l2l_fwd_out   = Output(new LoadToLoadIO)
154
155    val ld_fast_match    = Input(Bool())
156    val ld_fast_fuOpType = Input(UInt())
157    val ld_fast_imm      = Input(UInt(12.W))
158
159    // rs feedback
160    val wakeup = ValidIO(new DynInst)
161    val feedback_fast = ValidIO(new RSFeedback) // stage 2
162    val feedback_slow = ValidIO(new RSFeedback) // stage 3
163    val ldCancel = Output(new LoadCancelIO()) // use to cancel the uops waked by this load, and cancel load
164
165    // load ecc error
166    val s3_dly_ld_err = Output(Bool()) // Note that io.s3_dly_ld_err and io.lsq.s3_dly_ld_err is different
167
168    // schedule error query
169    val stld_nuke_query = Flipped(Vec(StorePipelineWidth, Valid(new StoreNukeQueryIO)))
170
171    // queue-based replay
172    val replay       = Flipped(Decoupled(new LsPipelineBundle))
173    val lq_rep_full  = Input(Bool())
174
175    // misc
176    val s2_ptr_chasing = Output(Bool()) // provide right pc for hw prefetch
177
178    // Load fast replay path
179    val fast_rep_in  = Flipped(Decoupled(new LqWriteBundle))
180    val fast_rep_out = Decoupled(new LqWriteBundle)
181
182    // Load RAR rollback
183    val rollback = Valid(new Redirect)
184
185    // perf
186    val debug_ls         = Output(new DebugLsInfoBundle)
187    val lsTopdownInfo    = Output(new LsTopdownInfo)
188    val correctMissTrain = Input(Bool())
189  })
190
191  val s1_ready, s2_ready, s3_ready = WireInit(false.B)
192
193  // Pipeline
194  // --------------------------------------------------------------------------------
195  // stage 0
196  // --------------------------------------------------------------------------------
197  // generate addr, use addr to query DCache and DTLB
198  val s0_valid         = Wire(Bool())
199  val s0_mmio_select   = Wire(Bool())
200  val s0_kill          = Wire(Bool())
201  val s0_can_go        = s1_ready
202  val s0_fire          = s0_valid && s0_can_go
203  val s0_mmio_fire     = s0_mmio_select && s0_can_go
204  val s0_out           = Wire(new LqWriteBundle)
205
206  // flow source bundle
207  class FlowSource extends Bundle {
208    val vaddr         = UInt(VAddrBits.W)
209    val mask          = UInt((VLEN/8).W)
210    val uop           = new DynInst
211    val try_l2l       = Bool()
212    val has_rob_entry = Bool()
213    val rep_carry     = new ReplayCarry(nWays)
214    val mshrid        = UInt(log2Up(cfg.nMissEntries).W)
215    val isFirstIssue  = Bool()
216    val fast_rep      = Bool()
217    val ld_rep        = Bool()
218    val l2l_fwd       = Bool()
219    val prf           = Bool()
220    val prf_rd        = Bool()
221    val prf_wr        = Bool()
222    val sched_idx     = UInt(log2Up(LoadQueueReplaySize+1).W)
223    val hlv           = Bool()
224    val hlvx          = Bool()
225    // Record the issue port idx of load issue queue. This signal is used by load cancel.
226    val deqPortIdx    = UInt(log2Ceil(LoadPipelineWidth).W)
227    // vec only
228    val isvec         = Bool()
229    val is128bit      = Bool()
230    val uop_unit_stride_fof = Bool()
231    val reg_offset    = UInt(vOffsetBits.W)
232    val vecActive     = Bool() // 1: vector active element or scala mem operation, 0: vector not active element
233    val is_first_ele  = Bool()
234    // val flowPtr       = new VlflowPtr
235    val usSecondInv   = Bool()
236    val mbIndex       = UInt(vlmBindexBits.W)
237    val elemIdx       = UInt(elemIdxBits.W)
238    val elemIdxInsideVd = UInt(elemIdxBits.W)
239    val alignedType   = UInt(alignTypeBits.W)
240  }
241  val s0_sel_src = Wire(new FlowSource)
242
243  // load flow select/gen
244  // src0: super load replayed by LSQ (cache miss replay) (io.replay)
245  // src1: fast load replay (io.fast_rep_in)
246  // src2: mmio (io.lsq.uncache)
247  // src3: load replayed by LSQ (io.replay)
248  // src4: hardware prefetch from prefetchor (high confidence) (io.prefetch)
249  // NOTE: Now vec/int loads are sent from same RS
250  //       A vec load will be splited into multiple uops,
251  //       so as long as one uop is issued,
252  //       the other uops should have higher priority
253  // src5: vec read from RS (io.vecldin)
254  // src6: int read / software prefetch first issue from RS (io.in)
255  // src7: load try pointchaising when no issued or replayed load (io.fastpath)
256  // src8: hardware prefetch from prefetchor (high confidence) (io.prefetch)
257  // priority: high to low
258  val s0_rep_stall           = io.ldin.valid && isAfter(io.replay.bits.uop.robIdx, io.ldin.bits.uop.robIdx)
259  val s0_super_ld_rep_valid  = io.replay.valid && io.replay.bits.forward_tlDchannel
260  val s0_ld_fast_rep_valid   = io.fast_rep_in.valid
261  val s0_ld_mmio_valid       = io.lsq.uncache.valid
262  val s0_ld_rep_valid        = io.replay.valid && !io.replay.bits.forward_tlDchannel && !s0_rep_stall
263  val s0_high_conf_prf_valid = io.prefetch_req.valid && io.prefetch_req.bits.confidence > 0.U
264  val s0_vec_iss_valid       = io.vecldin.valid
265  val s0_int_iss_valid       = io.ldin.valid // int flow first issue or software prefetch
266  val s0_l2l_fwd_valid       = io.l2l_fwd_in.valid
267  val s0_low_conf_prf_valid  = io.prefetch_req.valid && io.prefetch_req.bits.confidence === 0.U
268  dontTouch(s0_super_ld_rep_valid)
269  dontTouch(s0_ld_fast_rep_valid)
270  dontTouch(s0_ld_mmio_valid)
271  dontTouch(s0_ld_rep_valid)
272  dontTouch(s0_high_conf_prf_valid)
273  dontTouch(s0_vec_iss_valid)
274  dontTouch(s0_int_iss_valid)
275  dontTouch(s0_l2l_fwd_valid)
276  dontTouch(s0_low_conf_prf_valid)
277
278  // load flow source ready
279  val s0_super_ld_rep_ready  = WireInit(true.B)
280  val s0_ld_fast_rep_ready   = !s0_super_ld_rep_valid
281  val s0_ld_mmio_ready       = !s0_super_ld_rep_valid &&
282                               !s0_ld_fast_rep_valid
283  val s0_ld_rep_ready        = !s0_super_ld_rep_valid &&
284                               !s0_ld_fast_rep_valid &&
285                               !s0_ld_mmio_valid
286  val s0_high_conf_prf_ready = !s0_super_ld_rep_valid &&
287                               !s0_ld_fast_rep_valid &&
288                               !s0_ld_mmio_valid &&
289                               !s0_ld_rep_valid
290
291  val s0_vec_iss_ready       = !s0_super_ld_rep_valid &&
292                               !s0_ld_fast_rep_valid &&
293                               !s0_ld_mmio_valid &&
294                               !s0_ld_rep_valid &&
295                               !s0_high_conf_prf_valid
296
297  val s0_int_iss_ready       = !s0_super_ld_rep_valid &&
298                               !s0_ld_fast_rep_valid &&
299                               !s0_ld_mmio_valid &&
300                               !s0_ld_rep_valid &&
301                               !s0_high_conf_prf_valid &&
302                               !s0_vec_iss_valid
303
304  val s0_l2l_fwd_ready       = !s0_super_ld_rep_valid &&
305                               !s0_ld_fast_rep_valid &&
306                               !s0_ld_mmio_valid &&
307                               !s0_ld_rep_valid &&
308                               !s0_high_conf_prf_valid &&
309                               !s0_int_iss_valid &&
310                               !s0_vec_iss_valid
311
312  val s0_low_conf_prf_ready  = !s0_super_ld_rep_valid &&
313                               !s0_ld_fast_rep_valid &&
314                               !s0_ld_mmio_valid &&
315                               !s0_ld_rep_valid &&
316                               !s0_high_conf_prf_valid &&
317                               !s0_int_iss_valid &&
318                               !s0_vec_iss_valid &&
319                               !s0_l2l_fwd_valid
320  dontTouch(s0_super_ld_rep_ready)
321  dontTouch(s0_ld_fast_rep_ready)
322  dontTouch(s0_ld_mmio_ready)
323  dontTouch(s0_ld_rep_ready)
324  dontTouch(s0_high_conf_prf_ready)
325  dontTouch(s0_vec_iss_ready)
326  dontTouch(s0_int_iss_ready)
327  dontTouch(s0_l2l_fwd_ready)
328  dontTouch(s0_low_conf_prf_ready)
329
330  // load flow source select (OH)
331  val s0_super_ld_rep_select = s0_super_ld_rep_valid && s0_super_ld_rep_ready
332  val s0_ld_fast_rep_select  = s0_ld_fast_rep_valid && s0_ld_fast_rep_ready
333  val s0_ld_mmio_select      = s0_ld_mmio_valid && s0_ld_mmio_ready
334  val s0_ld_rep_select       = s0_ld_rep_valid && s0_ld_rep_ready
335  val s0_hw_prf_select       = s0_high_conf_prf_ready && s0_high_conf_prf_valid ||
336                               s0_low_conf_prf_ready && s0_low_conf_prf_valid
337  val s0_vec_iss_select      = s0_vec_iss_ready && s0_vec_iss_valid
338  val s0_int_iss_select      = s0_int_iss_ready && s0_int_iss_valid
339  val s0_l2l_fwd_select      = s0_l2l_fwd_ready && s0_l2l_fwd_valid
340  dontTouch(s0_super_ld_rep_select)
341  dontTouch(s0_ld_fast_rep_select)
342  dontTouch(s0_ld_mmio_select)
343  dontTouch(s0_ld_rep_select)
344  dontTouch(s0_hw_prf_select)
345  dontTouch(s0_vec_iss_select)
346  dontTouch(s0_int_iss_select)
347  dontTouch(s0_l2l_fwd_select)
348
349  s0_valid := (s0_super_ld_rep_valid ||
350               s0_ld_fast_rep_valid ||
351               s0_ld_rep_valid ||
352               s0_high_conf_prf_valid ||
353               s0_vec_iss_valid ||
354               s0_int_iss_valid ||
355               s0_l2l_fwd_valid ||
356               s0_low_conf_prf_valid) && !s0_ld_mmio_select && io.dcache.req.ready && !s0_kill
357
358  s0_mmio_select := s0_ld_mmio_select && !s0_kill
359
360  // which is S0's out is ready and dcache is ready
361  val s0_try_ptr_chasing      = s0_l2l_fwd_select
362  val s0_do_try_ptr_chasing   = s0_try_ptr_chasing && s0_can_go && io.dcache.req.ready
363  val s0_ptr_chasing_vaddr    = io.l2l_fwd_in.data(5, 0) +& io.ld_fast_imm(5, 0)
364  val s0_ptr_chasing_canceled = WireInit(false.B)
365  s0_kill := s0_ptr_chasing_canceled
366
367  // prefetch related ctrl signal
368  io.canAcceptLowConfPrefetch  := s0_low_conf_prf_ready && io.dcache.req.ready
369  io.canAcceptHighConfPrefetch := s0_high_conf_prf_ready && io.dcache.req.ready
370
371  // query DTLB
372  io.tlb.req.valid                   := s0_valid
373  io.tlb.req.bits.cmd                := Mux(s0_sel_src.prf,
374                                         Mux(s0_sel_src.prf_wr, TlbCmd.write, TlbCmd.read),
375                                         TlbCmd.read
376                                       )
377  io.tlb.req.bits.vaddr              := Mux(s0_hw_prf_select, io.prefetch_req.bits.paddr, s0_sel_src.vaddr)
378  io.tlb.req.bits.hyperinst          := s0_sel_src.hlv
379  io.tlb.req.bits.hlvx               := s0_sel_src.hlvx
380  io.tlb.req.bits.size               := Mux(s0_sel_src.isvec, s0_sel_src.alignedType(2,0), LSUOpType.size(s0_sel_src.uop.fuOpType))
381  io.tlb.req.bits.kill               := s0_kill
382  io.tlb.req.bits.memidx.is_ld       := true.B
383  io.tlb.req.bits.memidx.is_st       := false.B
384  io.tlb.req.bits.memidx.idx         := s0_sel_src.uop.lqIdx.value
385  io.tlb.req.bits.debug.robIdx       := s0_sel_src.uop.robIdx
386  io.tlb.req.bits.no_translate       := s0_hw_prf_select  // hw b.reqetch addr does not need to be translated
387  io.tlb.req.bits.debug.pc           := s0_sel_src.uop.pc
388  io.tlb.req.bits.debug.isFirstIssue := s0_sel_src.isFirstIssue
389
390  // query DCache
391  io.dcache.req.valid             := s0_valid
392  io.dcache.req.bits.cmd          := Mux(s0_sel_src.prf_rd,
393                                      MemoryOpConstants.M_PFR,
394                                      Mux(s0_sel_src.prf_wr, MemoryOpConstants.M_PFW, MemoryOpConstants.M_XRD)
395                                    )
396  io.dcache.req.bits.vaddr        := s0_sel_src.vaddr
397  io.dcache.req.bits.mask         := s0_sel_src.mask
398  io.dcache.req.bits.data         := DontCare
399  io.dcache.req.bits.isFirstIssue := s0_sel_src.isFirstIssue
400  io.dcache.req.bits.instrtype    := Mux(s0_sel_src.prf, DCACHE_PREFETCH_SOURCE.U, LOAD_SOURCE.U)
401  io.dcache.req.bits.debug_robIdx := s0_sel_src.uop.robIdx.value
402  io.dcache.req.bits.replayCarry  := s0_sel_src.rep_carry
403  io.dcache.req.bits.id           := DontCare // TODO: update cache meta
404  io.dcache.req.bits.lqIdx        := s0_sel_src.uop.lqIdx
405  io.dcache.pf_source             := Mux(s0_hw_prf_select, io.prefetch_req.bits.pf_source.value, L1_HW_PREFETCH_NULL)
406  io.dcache.is128Req              := s0_sel_src.is128bit
407
408  // load flow priority mux
409  def fromNullSource(): FlowSource = {
410    val out = WireInit(0.U.asTypeOf(new FlowSource))
411    out
412  }
413
414  def fromFastReplaySource(src: LqWriteBundle): FlowSource = {
415    val out = WireInit(0.U.asTypeOf(new FlowSource))
416    out.vaddr         := src.vaddr
417    out.mask          := src.mask
418    out.uop           := src.uop
419    out.try_l2l       := false.B
420    out.has_rob_entry := src.hasROBEntry
421    out.rep_carry     := src.rep_info.rep_carry
422    out.mshrid        := src.rep_info.mshr_id
423    out.isFirstIssue  := false.B
424    out.fast_rep      := true.B
425    out.ld_rep        := src.isLoadReplay
426    out.l2l_fwd       := false.B
427    out.prf           := LSUOpType.isPrefetch(src.uop.fuOpType) && !src.isvec
428    out.prf_rd        := src.uop.fuOpType === LSUOpType.prefetch_r
429    out.prf_wr        := src.uop.fuOpType === LSUOpType.prefetch_w
430    out.sched_idx     := src.schedIndex
431    out.isvec         := src.isvec
432    out.is128bit      := src.is128bit
433    out.uop_unit_stride_fof := src.uop_unit_stride_fof
434    out.reg_offset    := src.reg_offset
435    out.vecActive     := src.vecActive
436    out.is_first_ele  := src.is_first_ele
437    out.usSecondInv   := src.usSecondInv
438    out.mbIndex       := src.mbIndex
439    out.elemIdx       := src.elemIdx
440    out.elemIdxInsideVd := src.elemIdxInsideVd
441    out.alignedType   := src.alignedType
442    out.hlv           := LSUOpType.isHlv(src.uop.fuOpType)
443    out.hlvx          := LSUOpType.isHlvx(src.uop.fuOpType)
444    out
445  }
446
447  // TODO: implement vector mmio
448  def fromMmioSource(src: MemExuOutput) = {
449    val out = WireInit(0.U.asTypeOf(new FlowSource))
450    out.vaddr        := 0.U
451    out.mask          := 0.U
452    out.uop           := src.uop
453    out.try_l2l       := false.B
454    out.has_rob_entry := false.B
455    out.rep_carry     := 0.U.asTypeOf(out.rep_carry)
456    out.mshrid        := 0.U
457    out.isFirstIssue  := false.B
458    out.fast_rep      := false.B
459    out.ld_rep        := false.B
460    out.l2l_fwd       := false.B
461    out.prf           := false.B
462    out.prf_rd        := false.B
463    out.prf_wr        := false.B
464    out.sched_idx     := 0.U
465    out.hlv           := LSUOpType.isHlv(src.uop.fuOpType)
466    out.hlvx          := LSUOpType.isHlvx(src.uop.fuOpType)
467    out.vecActive     := true.B
468    out
469  }
470
471  def fromNormalReplaySource(src: LsPipelineBundle): FlowSource = {
472    val out = WireInit(0.U.asTypeOf(new FlowSource))
473    out.vaddr         := src.vaddr
474    out.mask          := Mux(src.isvec, src.mask, genVWmask(src.vaddr, src.uop.fuOpType(1, 0)))
475    out.uop           := src.uop
476    out.try_l2l       := false.B
477    out.has_rob_entry := true.B
478    out.rep_carry     := src.replayCarry
479    out.mshrid        := src.mshrid
480    out.isFirstIssue  := false.B
481    out.fast_rep      := false.B
482    out.ld_rep        := true.B
483    out.l2l_fwd       := false.B
484    out.prf           := LSUOpType.isPrefetch(src.uop.fuOpType) && !src.isvec
485    out.prf_rd        := src.uop.fuOpType === LSUOpType.prefetch_r
486    out.prf_wr        := src.uop.fuOpType === LSUOpType.prefetch_w
487    out.sched_idx     := src.schedIndex
488    out.isvec         := src.isvec
489    out.is128bit      := src.is128bit
490    out.uop_unit_stride_fof := src.uop_unit_stride_fof
491    out.reg_offset    := src.reg_offset
492    out.vecActive     := src.vecActive
493    out.is_first_ele  := src.is_first_ele
494    out.usSecondInv   := src.usSecondInv
495    out.mbIndex       := src.mbIndex
496    out.elemIdx       := src.elemIdx
497    out.elemIdxInsideVd := src.elemIdxInsideVd
498    out.alignedType   := src.alignedType
499    out.hlv           := LSUOpType.isHlv(src.uop.fuOpType)
500    out.hlvx          := LSUOpType.isHlvx(src.uop.fuOpType)
501    out
502  }
503
504  // TODO: implement vector prefetch
505  def fromPrefetchSource(src: L1PrefetchReq): FlowSource = {
506    val out = WireInit(0.U.asTypeOf(new FlowSource))
507    out.vaddr         := src.getVaddr()
508    out.mask          := 0.U
509    out.uop           := DontCare
510    out.try_l2l       := false.B
511    out.has_rob_entry := false.B
512    out.rep_carry     := 0.U.asTypeOf(out.rep_carry)
513    out.mshrid        := 0.U
514    out.isFirstIssue  := false.B
515    out.fast_rep      := false.B
516    out.ld_rep        := false.B
517    out.l2l_fwd       := false.B
518    out.prf           := true.B
519    out.prf_rd        := !src.is_store
520    out.prf_wr        := src.is_store
521    out.sched_idx     := 0.U
522    out
523  }
524
525  def fromVecIssueSource(src: VecPipeBundle): FlowSource = {
526    val out = WireInit(0.U.asTypeOf(new FlowSource))
527    out.vaddr         := src.vaddr
528    out.mask          := src.mask
529    out.uop           := src.uop
530    out.try_l2l       := false.B
531    out.has_rob_entry := true.B
532    // TODO: VLSU, implement replay carry
533    out.rep_carry     := 0.U.asTypeOf(out.rep_carry)
534    out.mshrid        := 0.U
535    // TODO: VLSU, implement first issue
536//    out.isFirstIssue  := src.isFirstIssue
537    out.fast_rep      := false.B
538    out.ld_rep        := false.B
539    out.l2l_fwd       := false.B
540    out.prf           := false.B
541    out.prf_rd        := false.B
542    out.prf_wr        := false.B
543    out.sched_idx     := 0.U
544    // Vector load interface
545    out.isvec               := true.B
546    // vector loads only access a single element at a time, so 128-bit path is not used for now
547    out.is128bit            := is128Bit(src.alignedType)
548    out.uop_unit_stride_fof := src.uop_unit_stride_fof
549    // out.rob_idx_valid       := src.rob_idx_valid
550    // out.inner_idx           := src.inner_idx
551    // out.rob_idx             := src.rob_idx
552    out.reg_offset          := src.reg_offset
553    // out.offset              := src.offset
554    out.vecActive           := src.vecActive
555    out.is_first_ele        := src.is_first_ele
556    // out.flowPtr             := src.flowPtr
557    out.usSecondInv         := src.usSecondInv
558    out.mbIndex             := src.mBIndex
559    out.elemIdx             := src.elemIdx
560    out.elemIdxInsideVd     := src.elemIdxInsideVd
561    out.alignedType         := src.alignedType
562    out.hlv                 := false.B
563    out.hlvx                := false.B
564    out
565  }
566
567  def fromIntIssueSource(src: MemExuInput): FlowSource = {
568    val out = WireInit(0.U.asTypeOf(new FlowSource))
569    out.vaddr         := src.src(0) + SignExt(src.uop.imm(11, 0), VAddrBits)
570    out.mask          := genVWmask(out.vaddr, src.uop.fuOpType(1,0))
571    out.uop           := src.uop
572    out.try_l2l       := false.B
573    out.has_rob_entry := true.B
574    out.rep_carry     := 0.U.asTypeOf(out.rep_carry)
575    out.mshrid        := 0.U
576    out.isFirstIssue  := true.B
577    out.fast_rep      := false.B
578    out.ld_rep        := false.B
579    out.l2l_fwd       := false.B
580    out.prf           := LSUOpType.isPrefetch(src.uop.fuOpType)
581    out.prf_rd        := src.uop.fuOpType === LSUOpType.prefetch_r
582    out.prf_wr        := src.uop.fuOpType === LSUOpType.prefetch_w
583    out.sched_idx     := 0.U
584    out.hlv           := LSUOpType.isHlv(src.uop.fuOpType)
585    out.hlvx          := LSUOpType.isHlvx(src.uop.fuOpType)
586    out.vecActive     := true.B // true for scala load
587    out
588  }
589
590  // TODO: implement vector l2l
591  def fromLoadToLoadSource(src: LoadToLoadIO): FlowSource = {
592    val out = WireInit(0.U.asTypeOf(new FlowSource))
593    out.vaddr              := Cat(src.data(XLEN-1, 6), s0_ptr_chasing_vaddr(5,0))
594    out.mask               := genVWmask(0.U, LSUOpType.ld)
595    // When there's no valid instruction from RS and LSQ, we try the load-to-load forwarding.
596    // Assume the pointer chasing is always ld.
597    out.uop.fuOpType       := LSUOpType.ld
598    out.try_l2l            := true.B
599    // we dont care out.isFirstIssue and out.rsIdx and s0_sqIdx in S0 when trying pointchasing
600    // because these signals will be updated in S1
601    out.has_rob_entry      := false.B
602    out.mshrid             := 0.U
603    out.rep_carry          := 0.U.asTypeOf(out.rep_carry)
604    out.isFirstIssue       := true.B
605    out.fast_rep           := false.B
606    out.ld_rep             := false.B
607    out.l2l_fwd            := true.B
608    out.prf                := false.B
609    out.prf_rd             := false.B
610    out.prf_wr             := false.B
611    out.sched_idx          := 0.U
612    out.hlv                := LSUOpType.isHlv(out.uop.fuOpType)
613    out.hlvx               := LSUOpType.isHlvx(out.uop.fuOpType)
614    out
615  }
616
617  // set default
618  val s0_src_selector = Seq(
619    s0_super_ld_rep_select,
620    s0_ld_fast_rep_select,
621    s0_ld_mmio_select,
622    s0_ld_rep_select,
623    s0_hw_prf_select,
624    s0_vec_iss_select,
625    s0_int_iss_select,
626    (if (EnableLoadToLoadForward) s0_l2l_fwd_select else true.B)
627  )
628  val s0_src_format = Seq(
629    fromNormalReplaySource(io.replay.bits),
630    fromFastReplaySource(io.fast_rep_in.bits),
631    fromMmioSource(io.lsq.uncache.bits),
632    fromNormalReplaySource(io.replay.bits),
633    fromPrefetchSource(io.prefetch_req.bits),
634    fromVecIssueSource(io.vecldin.bits),
635    fromIntIssueSource(io.ldin.bits),
636    (if (EnableLoadToLoadForward) fromLoadToLoadSource(io.l2l_fwd_in) else fromNullSource())
637  )
638  s0_sel_src := ParallelPriorityMux(s0_src_selector, s0_src_format)
639
640  // address align check
641  val s0_addr_aligned = LookupTree(Mux(s0_sel_src.isvec, s0_sel_src.alignedType(1,0), s0_sel_src.uop.fuOpType(1, 0)), List(
642    "b00".U   -> true.B,                   //b
643    "b01".U   -> (s0_sel_src.vaddr(0)    === 0.U), //h
644    "b10".U   -> (s0_sel_src.vaddr(1, 0) === 0.U), //w
645    "b11".U   -> (s0_sel_src.vaddr(2, 0) === 0.U)  //d
646  ))
647  XSError(s0_sel_src.isvec && s0_sel_src.vaddr(3, 0) =/= 0.U && s0_sel_src.alignedType(2), "unit-stride 128 bit element is not aligned!")
648
649  // accept load flow if dcache ready (tlb is always ready)
650  // TODO: prefetch need writeback to loadQueueFlag
651  s0_out               := DontCare
652  s0_out.vaddr         := s0_sel_src.vaddr
653  s0_out.mask          := s0_sel_src.mask
654  s0_out.uop           := s0_sel_src.uop
655  s0_out.isFirstIssue  := s0_sel_src.isFirstIssue
656  s0_out.hasROBEntry   := s0_sel_src.has_rob_entry
657  s0_out.isPrefetch    := s0_sel_src.prf
658  s0_out.isHWPrefetch  := s0_hw_prf_select
659  s0_out.isFastReplay  := s0_sel_src.fast_rep
660  s0_out.isLoadReplay  := s0_sel_src.ld_rep
661  s0_out.isFastPath    := s0_sel_src.l2l_fwd
662  s0_out.mshrid        := s0_sel_src.mshrid
663  s0_out.isvec           := s0_sel_src.isvec
664  s0_out.is128bit        := s0_sel_src.is128bit
665  s0_out.uop_unit_stride_fof := s0_sel_src.uop_unit_stride_fof
666  // s0_out.rob_idx_valid   := s0_rob_idx_valid
667  // s0_out.inner_idx       := s0_inner_idx
668  // s0_out.rob_idx         := s0_rob_idx
669  s0_out.reg_offset      := s0_sel_src.reg_offset
670  // s0_out.offset          := s0_offset
671  s0_out.vecActive             := s0_sel_src.vecActive
672  s0_out.usSecondInv    := s0_sel_src.usSecondInv
673  s0_out.is_first_ele   := s0_sel_src.is_first_ele
674  s0_out.elemIdx        := s0_sel_src.elemIdx
675  s0_out.elemIdxInsideVd := s0_sel_src.elemIdxInsideVd
676  s0_out.alignedType    := s0_sel_src.alignedType
677  s0_out.mbIndex        := s0_sel_src.mbIndex
678  // s0_out.flowPtr         := s0_sel_src.flowPtr
679  s0_out.uop.exceptionVec(loadAddrMisaligned) := !s0_addr_aligned && s0_sel_src.vecActive
680  s0_out.forward_tlDchannel := s0_super_ld_rep_select
681  when(io.tlb.req.valid && s0_sel_src.isFirstIssue) {
682    s0_out.uop.debugInfo.tlbFirstReqTime := GTimer()
683  }.otherwise{
684    s0_out.uop.debugInfo.tlbFirstReqTime := s0_sel_src.uop.debugInfo.tlbFirstReqTime
685  }
686  s0_out.schedIndex     := s0_sel_src.sched_idx
687
688  // load fast replay
689  io.fast_rep_in.ready := (s0_can_go && io.dcache.req.ready && s0_ld_fast_rep_ready)
690
691  // mmio
692  io.lsq.uncache.ready := s0_mmio_fire
693
694  // load flow source ready
695  // cache missed load has highest priority
696  // always accept cache missed load flow from load replay queue
697  io.replay.ready := (s0_can_go && io.dcache.req.ready && (s0_ld_rep_ready && !s0_rep_stall || s0_super_ld_rep_select))
698
699  // accept load flow from rs when:
700  // 1) there is no lsq-replayed load
701  // 2) there is no fast replayed load
702  // 3) there is no high confidence prefetch request
703  io.vecldin.ready := s0_can_go && io.dcache.req.ready && s0_vec_iss_ready
704  io.ldin.ready := s0_can_go && io.dcache.req.ready && s0_int_iss_ready
705
706  // for hw prefetch load flow feedback, to be added later
707  // io.prefetch_in.ready := s0_hw_prf_select
708
709  // dcache replacement extra info
710  // TODO: should prefetch load update replacement?
711  io.dcache.replacementUpdated := Mux(s0_ld_rep_select || s0_super_ld_rep_select, io.replay.bits.replacementUpdated, false.B)
712
713  // load wakeup
714  // TODO: vector load wakeup?
715  io.wakeup.valid := !s0_sel_src.isvec && s0_fire && (s0_super_ld_rep_select || s0_ld_fast_rep_select || s0_ld_rep_select || s0_int_iss_select) || s0_mmio_fire
716  io.wakeup.bits := s0_out.uop
717
718  XSDebug(io.dcache.req.fire,
719    p"[DCACHE LOAD REQ] pc ${Hexadecimal(s0_sel_src.uop.pc)}, vaddr ${Hexadecimal(s0_sel_src.vaddr)}\n"
720  )
721  XSDebug(s0_valid,
722    p"S0: pc ${Hexadecimal(s0_out.uop.pc)}, lId ${Hexadecimal(s0_out.uop.lqIdx.asUInt)}, " +
723    p"vaddr ${Hexadecimal(s0_out.vaddr)}, mask ${Hexadecimal(s0_out.mask)}\n")
724
725  // Pipeline
726  // --------------------------------------------------------------------------------
727  // stage 1
728  // --------------------------------------------------------------------------------
729  // TLB resp (send paddr to dcache)
730  val s1_valid      = RegInit(false.B)
731  val s1_in         = Wire(new LqWriteBundle)
732  val s1_out        = Wire(new LqWriteBundle)
733  val s1_kill       = Wire(Bool())
734  val s1_can_go     = s2_ready
735  val s1_fire       = s1_valid && !s1_kill && s1_can_go
736  val s1_vecActive        = RegEnable(s0_out.vecActive, true.B, s0_fire)
737
738  s1_ready := !s1_valid || s1_kill || s2_ready
739  when (s0_fire) { s1_valid := true.B }
740  .elsewhen (s1_fire) { s1_valid := false.B }
741  .elsewhen (s1_kill) { s1_valid := false.B }
742  s1_in   := RegEnable(s0_out, s0_fire)
743
744  val s1_fast_rep_dly_kill = RegEnable(io.fast_rep_in.bits.lateKill, io.fast_rep_in.valid) && s1_in.isFastReplay
745  val s1_fast_rep_dly_err =  RegEnable(io.fast_rep_in.bits.delayedLoadError, io.fast_rep_in.valid) && s1_in.isFastReplay
746  val s1_l2l_fwd_dly_err  = RegEnable(io.l2l_fwd_in.dly_ld_err, io.l2l_fwd_in.valid) && s1_in.isFastPath
747  val s1_dly_err          = s1_fast_rep_dly_err || s1_l2l_fwd_dly_err
748  val s1_vaddr_hi         = Wire(UInt())
749  val s1_vaddr_lo         = Wire(UInt())
750  val s1_vaddr            = Wire(UInt())
751  val s1_paddr_dup_lsu    = Wire(UInt())
752  val s1_gpaddr_dup_lsu   = Wire(UInt())
753  val s1_paddr_dup_dcache = Wire(UInt())
754  val s1_exception        = ExceptionNO.selectByFu(s1_out.uop.exceptionVec, LduCfg).asUInt.orR   // af & pf exception were modified below.
755  val s1_tlb_miss         = io.tlb.resp.bits.miss && io.tlb.resp.valid && s1_valid
756  val s1_prf              = s1_in.isPrefetch
757  val s1_hw_prf           = s1_in.isHWPrefetch
758  val s1_sw_prf           = s1_prf && !s1_hw_prf
759  val s1_tlb_memidx       = io.tlb.resp.bits.memidx
760
761  s1_vaddr_hi         := s1_in.vaddr(VAddrBits - 1, 6)
762  s1_vaddr_lo         := s1_in.vaddr(5, 0)
763  s1_vaddr            := Cat(s1_vaddr_hi, s1_vaddr_lo)
764  s1_paddr_dup_lsu    := io.tlb.resp.bits.paddr(0)
765  s1_paddr_dup_dcache := io.tlb.resp.bits.paddr(1)
766  s1_gpaddr_dup_lsu   := io.tlb.resp.bits.gpaddr(0)
767
768  when (s1_tlb_memidx.is_ld && io.tlb.resp.valid && !s1_tlb_miss && s1_tlb_memidx.idx === s1_in.uop.lqIdx.value) {
769    // printf("load idx = %d\n", s1_tlb_memidx.idx)
770    s1_out.uop.debugInfo.tlbRespTime := GTimer()
771  }
772
773  io.tlb.req_kill   := s1_kill || s1_dly_err
774  io.tlb.resp.ready := true.B
775
776  io.dcache.s1_paddr_dup_lsu    <> s1_paddr_dup_lsu
777  io.dcache.s1_paddr_dup_dcache <> s1_paddr_dup_dcache
778  io.dcache.s1_kill             := s1_kill || s1_dly_err || s1_tlb_miss || s1_exception
779
780  // store to load forwarding
781  io.sbuffer.valid := s1_valid && !(s1_exception || s1_tlb_miss || s1_kill || s1_dly_err || s1_prf)
782  io.sbuffer.vaddr := s1_vaddr
783  io.sbuffer.paddr := s1_paddr_dup_lsu
784  io.sbuffer.uop   := s1_in.uop
785  io.sbuffer.sqIdx := s1_in.uop.sqIdx
786  io.sbuffer.mask  := s1_in.mask
787  io.sbuffer.pc    := s1_in.uop.pc // FIXME: remove it
788
789  io.lsq.forward.valid     := s1_valid && !(s1_exception || s1_tlb_miss || s1_kill || s1_dly_err || s1_prf)
790  io.lsq.forward.vaddr     := s1_vaddr
791  io.lsq.forward.paddr     := s1_paddr_dup_lsu
792  io.lsq.forward.uop       := s1_in.uop
793  io.lsq.forward.sqIdx     := s1_in.uop.sqIdx
794  io.lsq.forward.sqIdxMask := 0.U
795  io.lsq.forward.mask      := s1_in.mask
796  io.lsq.forward.pc        := s1_in.uop.pc // FIXME: remove it
797
798  // st-ld violation query
799    // if store unit is 128-bits memory access, need match 128-bit
800  private val s1_isMatch128 = io.stld_nuke_query.map(x => (x.bits.matchLine || (s1_in.isvec && s1_in.is128bit)))
801  val s1_nuke_paddr_match = VecInit((0 until StorePipelineWidth).zip(s1_isMatch128).map{case (w, s) => {Mux(s,
802    s1_paddr_dup_lsu(PAddrBits-1, 4) === io.stld_nuke_query(w).bits.paddr(PAddrBits-1, 4),
803    s1_paddr_dup_lsu(PAddrBits-1, 3) === io.stld_nuke_query(w).bits.paddr(PAddrBits-1, 3))}})
804  val s1_nuke = VecInit((0 until StorePipelineWidth).map(w => {
805                       io.stld_nuke_query(w).valid && // query valid
806                       isAfter(s1_in.uop.robIdx, io.stld_nuke_query(w).bits.robIdx) && // older store
807                       s1_nuke_paddr_match(w) && // paddr match
808                       (s1_in.mask & io.stld_nuke_query(w).bits.mask).orR // data mask contain
809                      })).asUInt.orR && !s1_tlb_miss
810
811  s1_out                   := s1_in
812  s1_out.vaddr             := s1_vaddr
813  s1_out.paddr             := s1_paddr_dup_lsu
814  s1_out.gpaddr            := s1_gpaddr_dup_lsu
815  s1_out.tlbMiss           := s1_tlb_miss
816  s1_out.ptwBack           := io.tlb.resp.bits.ptwBack
817  s1_out.rep_info.debug    := s1_in.uop.debugInfo
818  s1_out.rep_info.nuke     := s1_nuke && !s1_sw_prf
819  s1_out.delayedLoadError  := s1_dly_err
820
821  when (!s1_dly_err) {
822    // current ori test will cause the case of ldest == 0, below will be modifeid in the future.
823    // af & pf exception were modified
824    s1_out.uop.exceptionVec(loadPageFault)   := io.tlb.resp.bits.excp(0).pf.ld && s1_vecActive && !s1_tlb_miss
825    s1_out.uop.exceptionVec(loadGuestPageFault)   := io.tlb.resp.bits.excp(0).gpf.ld && !s1_tlb_miss
826    s1_out.uop.exceptionVec(loadAccessFault) := io.tlb.resp.bits.excp(0).af.ld && s1_vecActive && !s1_tlb_miss
827  } .otherwise {
828    s1_out.uop.exceptionVec(loadPageFault)      := false.B
829    s1_out.uop.exceptionVec(loadGuestPageFault) := false.B
830    s1_out.uop.exceptionVec(loadAddrMisaligned) := false.B
831    s1_out.uop.exceptionVec(loadAccessFault)    := s1_dly_err && s1_vecActive
832  }
833
834  // pointer chasing
835  val s1_try_ptr_chasing       = GatedValidRegNext(s0_do_try_ptr_chasing, false.B)
836  val s1_ptr_chasing_vaddr     = RegEnable(s0_ptr_chasing_vaddr, s0_do_try_ptr_chasing)
837  val s1_fu_op_type_not_ld     = WireInit(false.B)
838  val s1_not_fast_match        = WireInit(false.B)
839  val s1_addr_mismatch         = WireInit(false.B)
840  val s1_addr_misaligned       = WireInit(false.B)
841  val s1_fast_mismatch         = WireInit(false.B)
842  val s1_ptr_chasing_canceled  = WireInit(false.B)
843  val s1_cancel_ptr_chasing    = WireInit(false.B)
844
845  val s1_redirect_reg = Wire(Valid(new Redirect))
846  s1_redirect_reg.bits := RegEnable(io.redirect.bits, io.redirect.valid)
847  s1_redirect_reg.valid := GatedValidRegNext(io.redirect.valid)
848
849  s1_kill := s1_fast_rep_dly_kill ||
850             s1_cancel_ptr_chasing ||
851             s1_in.uop.robIdx.needFlush(io.redirect) ||
852            (s1_in.uop.robIdx.needFlush(s1_redirect_reg) && !GatedValidRegNext(s0_try_ptr_chasing)) ||
853             RegEnable(s0_kill, false.B, io.ldin.valid || io.vecldin.valid || io.replay.valid || io.l2l_fwd_in.valid || io.fast_rep_in.valid)
854
855  if (EnableLoadToLoadForward) {
856    // Sometimes, we need to cancel the load-load forwarding.
857    // These can be put at S0 if timing is bad at S1.
858    // Case 0: CACHE_SET(base + offset) != CACHE_SET(base) (lowest 6-bit addition has an overflow)
859    s1_addr_mismatch     := s1_ptr_chasing_vaddr(6) ||
860                             RegEnable(io.ld_fast_imm(11, 6).orR, s0_do_try_ptr_chasing)
861    // Case 1: the address is not 64-bit aligned or the fuOpType is not LD
862    s1_addr_misaligned := s1_ptr_chasing_vaddr(2, 0).orR
863    s1_fu_op_type_not_ld := io.ldin.bits.uop.fuOpType =/= LSUOpType.ld
864    // Case 2: this load-load uop is cancelled
865    s1_ptr_chasing_canceled := !io.ldin.valid
866    // Case 3: fast mismatch
867    s1_fast_mismatch := RegEnable(!io.ld_fast_match, s0_do_try_ptr_chasing)
868
869    when (s1_try_ptr_chasing) {
870      s1_cancel_ptr_chasing := s1_addr_mismatch ||
871                               s1_addr_misaligned ||
872                               s1_fu_op_type_not_ld ||
873                               s1_ptr_chasing_canceled ||
874                               s1_fast_mismatch
875
876      s1_in.uop           := io.ldin.bits.uop
877      s1_in.isFirstIssue  := io.ldin.bits.isFirstIssue
878      s1_vaddr_lo         := s1_ptr_chasing_vaddr(5, 0)
879      s1_paddr_dup_lsu    := Cat(io.tlb.resp.bits.paddr(0)(PAddrBits - 1, 6), s1_vaddr_lo)
880      s1_paddr_dup_dcache := Cat(io.tlb.resp.bits.paddr(0)(PAddrBits - 1, 6), s1_vaddr_lo)
881
882      // recored tlb time when get the data to ensure the correctness of the latency calculation (although it should not record in here, because it does not use tlb)
883      s1_in.uop.debugInfo.tlbFirstReqTime := GTimer()
884      s1_in.uop.debugInfo.tlbRespTime     := GTimer()
885    }
886    when (!s1_cancel_ptr_chasing) {
887      s0_ptr_chasing_canceled := s1_try_ptr_chasing && !io.replay.fire && !io.fast_rep_in.fire && !(s0_high_conf_prf_valid && io.canAcceptHighConfPrefetch)
888      when (s1_try_ptr_chasing) {
889        io.ldin.ready := true.B
890      }
891    }
892  }
893
894  // pre-calcuate sqIdx mask in s0, then send it to lsq in s1 for forwarding
895  val s1_sqIdx_mask = RegEnable(UIntToMask(s0_out.uop.sqIdx.value, StoreQueueSize), s0_fire)
896  // to enable load-load, sqIdxMask must be calculated based on ldin.uop
897  // If the timing here is not OK, load-load forwarding has to be disabled.
898  // Or we calculate sqIdxMask at RS??
899  io.lsq.forward.sqIdxMask := s1_sqIdx_mask
900  if (EnableLoadToLoadForward) {
901    when (s1_try_ptr_chasing) {
902      io.lsq.forward.sqIdxMask := UIntToMask(io.ldin.bits.uop.sqIdx.value, StoreQueueSize)
903    }
904  }
905
906  io.forward_mshr.valid  := s1_valid && s1_out.forward_tlDchannel
907  io.forward_mshr.mshrid := s1_out.mshrid
908  io.forward_mshr.paddr  := s1_out.paddr
909
910  XSDebug(s1_valid,
911    p"S1: pc ${Hexadecimal(s1_out.uop.pc)}, lId ${Hexadecimal(s1_out.uop.lqIdx.asUInt)}, tlb_miss ${io.tlb.resp.bits.miss}, " +
912    p"paddr ${Hexadecimal(s1_out.paddr)}, mmio ${s1_out.mmio}\n")
913
914  // Pipeline
915  // --------------------------------------------------------------------------------
916  // stage 2
917  // --------------------------------------------------------------------------------
918  // s2: DCache resp
919  val s2_valid  = RegInit(false.B)
920  val s2_in     = Wire(new LqWriteBundle)
921  val s2_out    = Wire(new LqWriteBundle)
922  val s2_kill   = Wire(Bool())
923  val s2_can_go = s3_ready
924  val s2_fire   = s2_valid && !s2_kill && s2_can_go
925  val s2_vecActive = RegEnable(s1_out.vecActive, true.B, s1_fire)
926  val s2_isvec  = RegEnable(s1_out.isvec, false.B, s1_fire)
927
928  s2_kill := s2_in.uop.robIdx.needFlush(io.redirect)
929  s2_ready := !s2_valid || s2_kill || s3_ready
930  when (s1_fire) { s2_valid := true.B }
931  .elsewhen (s2_fire) { s2_valid := false.B }
932  .elsewhen (s2_kill) { s2_valid := false.B }
933  s2_in := RegEnable(s1_out, s1_fire)
934
935  val s2_pmp = WireInit(io.pmp)
936
937  val s2_prf    = s2_in.isPrefetch
938  val s2_hw_prf = s2_in.isHWPrefetch
939
940  // exception that may cause load addr to be invalid / illegal
941  // if such exception happen, that inst and its exception info
942  // will be force writebacked to rob
943  val s2_exception_vec = WireInit(s2_in.uop.exceptionVec)
944  when (!s2_in.delayedLoadError) {
945    s2_exception_vec(loadAccessFault) := (s2_in.uop.exceptionVec(loadAccessFault) ||
946                                         s2_pmp.ld ||
947                                         s2_isvec && s2_pmp.mmio && !s2_prf && !s2_in.tlbMiss ||
948                                         (io.dcache.resp.bits.tag_error && GatedValidRegNext(io.csrCtrl.cache_error_enable))
949                                         ) && s2_vecActive
950  }
951
952  // soft prefetch will not trigger any exception (but ecc error interrupt may
953  // be triggered)
954  when (!s2_in.delayedLoadError && (s2_prf || s2_in.tlbMiss)) {
955    s2_exception_vec := 0.U.asTypeOf(s2_exception_vec.cloneType)
956  }
957  val s2_exception = ExceptionNO.selectByFu(s2_exception_vec, LduCfg).asUInt.orR && s2_vecActive
958
959  val (s2_fwd_frm_d_chan, s2_fwd_data_frm_d_chan) = io.tl_d_channel.forward(s1_valid && s1_out.forward_tlDchannel, s1_out.mshrid, s1_out.paddr)
960  val (s2_fwd_data_valid, s2_fwd_frm_mshr, s2_fwd_data_frm_mshr) = io.forward_mshr.forward()
961  val s2_fwd_frm_d_chan_or_mshr = s2_fwd_data_valid && (s2_fwd_frm_d_chan || s2_fwd_frm_mshr)
962
963  // writeback access fault caused by ecc error / bus error
964  // * ecc data error is slow to generate, so we will not use it until load stage 3
965  // * in load stage 3, an extra signal io.load_error will be used to
966  val s2_actually_mmio = s2_pmp.mmio
967  val s2_mmio          = !s2_prf &&
968                          s2_actually_mmio &&
969                         !s2_exception &&
970                         !s2_in.tlbMiss
971
972  val s2_full_fwd      = Wire(Bool())
973  val s2_mem_amb       = s2_in.uop.storeSetHit &&
974                         io.lsq.forward.addrInvalid
975
976  val s2_tlb_miss      = s2_in.tlbMiss
977  val s2_fwd_fail      = io.lsq.forward.dataInvalid
978  val s2_dcache_miss   = io.dcache.resp.bits.miss &&
979                         !s2_fwd_frm_d_chan_or_mshr &&
980                         !s2_full_fwd
981
982  val s2_mq_nack       = io.dcache.s2_mq_nack &&
983                         !s2_fwd_frm_d_chan_or_mshr &&
984                         !s2_full_fwd
985
986  val s2_bank_conflict = io.dcache.s2_bank_conflict &&
987                         !s2_fwd_frm_d_chan_or_mshr &&
988                         !s2_full_fwd
989
990  val s2_wpu_pred_fail = io.dcache.s2_wpu_pred_fail &&
991                        !s2_fwd_frm_d_chan_or_mshr &&
992                        !s2_full_fwd
993
994  val s2_rar_nack      = io.lsq.ldld_nuke_query.req.valid &&
995                         !io.lsq.ldld_nuke_query.req.ready
996
997  val s2_raw_nack      = io.lsq.stld_nuke_query.req.valid &&
998                         !io.lsq.stld_nuke_query.req.ready
999  // st-ld violation query
1000  //  NeedFastRecovery Valid when
1001  //  1. Fast recovery query request Valid.
1002  //  2. Load instruction is younger than requestors(store instructions).
1003  //  3. Physical address match.
1004  //  4. Data contains.
1005  private val s2_isMatch128 = io.stld_nuke_query.map(x => (x.bits.matchLine || (s2_in.isvec && s2_in.is128bit)))
1006  val s2_nuke_paddr_match = VecInit((0 until StorePipelineWidth).zip(s2_isMatch128).map{case (w, s) => {Mux(s,
1007    s2_in.paddr(PAddrBits-1, 4) === io.stld_nuke_query(w).bits.paddr(PAddrBits-1, 4),
1008    s2_in.paddr(PAddrBits-1, 3) === io.stld_nuke_query(w).bits.paddr(PAddrBits-1, 3))}})
1009  val s2_nuke          = VecInit((0 until StorePipelineWidth).map(w => {
1010                          io.stld_nuke_query(w).valid && // query valid
1011                          isAfter(s2_in.uop.robIdx, io.stld_nuke_query(w).bits.robIdx) && // older store
1012                          s2_nuke_paddr_match(w) && // paddr match
1013                          (s2_in.mask & io.stld_nuke_query(w).bits.mask).orR // data mask contain
1014                        })).asUInt.orR && !s2_tlb_miss || s2_in.rep_info.nuke
1015
1016  val s2_cache_handled   = io.dcache.resp.bits.handled
1017  val s2_cache_tag_error = GatedValidRegNext(io.csrCtrl.cache_error_enable) &&
1018                           io.dcache.resp.bits.tag_error
1019
1020  val s2_troublem        = !s2_exception &&
1021                           !s2_mmio &&
1022                           !s2_prf &&
1023                           !s2_in.delayedLoadError
1024
1025  io.dcache.resp.ready  := true.B
1026  val s2_dcache_should_resp = !(s2_in.tlbMiss || s2_exception || s2_in.delayedLoadError || s2_mmio || s2_prf)
1027  assert(!(s2_valid && (s2_dcache_should_resp && !io.dcache.resp.valid)), "DCache response got lost")
1028
1029  // fast replay require
1030  val s2_dcache_fast_rep = (s2_mq_nack || !s2_dcache_miss && (s2_bank_conflict || s2_wpu_pred_fail))
1031  val s2_nuke_fast_rep   = !s2_mq_nack &&
1032                           !s2_dcache_miss &&
1033                           !s2_bank_conflict &&
1034                           !s2_wpu_pred_fail &&
1035                           !s2_rar_nack &&
1036                           !s2_raw_nack &&
1037                           s2_nuke
1038
1039  val s2_fast_rep = !s2_mem_amb &&
1040                    !s2_tlb_miss &&
1041                    !s2_fwd_fail &&
1042                    (s2_dcache_fast_rep || s2_nuke_fast_rep) &&
1043                    s2_troublem
1044
1045  // need allocate new entry
1046  val s2_can_query = !s2_mem_amb &&
1047                     !s2_tlb_miss &&
1048                     !s2_fwd_fail &&
1049                     s2_troublem
1050
1051  val s2_data_fwded = s2_dcache_miss && (s2_full_fwd || s2_cache_tag_error)
1052
1053  // ld-ld violation require
1054  io.lsq.ldld_nuke_query.req.valid           := s2_valid && s2_can_query
1055  io.lsq.ldld_nuke_query.req.bits.uop        := s2_in.uop
1056  io.lsq.ldld_nuke_query.req.bits.mask       := s2_in.mask
1057  io.lsq.ldld_nuke_query.req.bits.paddr      := s2_in.paddr
1058  io.lsq.ldld_nuke_query.req.bits.data_valid := Mux(s2_full_fwd || s2_fwd_data_valid, true.B, !s2_dcache_miss)
1059
1060  // st-ld violation require
1061  io.lsq.stld_nuke_query.req.valid           := s2_valid && s2_can_query
1062  io.lsq.stld_nuke_query.req.bits.uop        := s2_in.uop
1063  io.lsq.stld_nuke_query.req.bits.mask       := s2_in.mask
1064  io.lsq.stld_nuke_query.req.bits.paddr      := s2_in.paddr
1065  io.lsq.stld_nuke_query.req.bits.data_valid := Mux(s2_full_fwd || s2_fwd_data_valid, true.B, !s2_dcache_miss)
1066
1067  // merge forward result
1068  // lsq has higher priority than sbuffer
1069  val s2_fwd_mask = Wire(Vec((VLEN/8), Bool()))
1070  val s2_fwd_data = Wire(Vec((VLEN/8), UInt(8.W)))
1071  s2_full_fwd := ((~s2_fwd_mask.asUInt).asUInt & s2_in.mask) === 0.U && !io.lsq.forward.dataInvalid
1072  // generate XLEN/8 Muxs
1073  for (i <- 0 until VLEN / 8) {
1074    s2_fwd_mask(i) := io.lsq.forward.forwardMask(i) || io.sbuffer.forwardMask(i)
1075    s2_fwd_data(i) := Mux(io.lsq.forward.forwardMask(i), io.lsq.forward.forwardData(i), io.sbuffer.forwardData(i))
1076  }
1077
1078  XSDebug(s2_fire, "[FWD LOAD RESP] pc %x fwd %x(%b) + %x(%b)\n",
1079    s2_in.uop.pc,
1080    io.lsq.forward.forwardData.asUInt, io.lsq.forward.forwardMask.asUInt,
1081    s2_in.forwardData.asUInt, s2_in.forwardMask.asUInt
1082  )
1083
1084  //
1085  s2_out                     := s2_in
1086  s2_out.data                := 0.U // data will be generated in load s3
1087  s2_out.uop.fpWen           := s2_in.uop.fpWen && !s2_exception
1088  s2_out.mmio                := s2_mmio
1089  s2_out.uop.flushPipe       := false.B
1090  s2_out.uop.exceptionVec    := s2_exception_vec
1091  s2_out.forwardMask         := s2_fwd_mask
1092  s2_out.forwardData         := s2_fwd_data
1093  s2_out.handledByMSHR       := s2_cache_handled
1094  s2_out.miss                := s2_dcache_miss && s2_troublem
1095  s2_out.feedbacked          := io.feedback_fast.valid
1096
1097  // Generate replay signal caused by:
1098  // * st-ld violation check
1099  // * tlb miss
1100  // * dcache replay
1101  // * forward data invalid
1102  // * dcache miss
1103  s2_out.rep_info.mem_amb         := s2_mem_amb && s2_troublem
1104  s2_out.rep_info.tlb_miss        := s2_tlb_miss && s2_troublem
1105  s2_out.rep_info.fwd_fail        := s2_fwd_fail && s2_troublem
1106  s2_out.rep_info.dcache_rep      := s2_mq_nack && s2_troublem
1107  s2_out.rep_info.dcache_miss     := s2_dcache_miss && s2_troublem
1108  s2_out.rep_info.bank_conflict   := s2_bank_conflict && s2_troublem
1109  s2_out.rep_info.wpu_fail        := s2_wpu_pred_fail && s2_troublem
1110  s2_out.rep_info.rar_nack        := s2_rar_nack && s2_troublem
1111  s2_out.rep_info.raw_nack        := s2_raw_nack && s2_troublem
1112  s2_out.rep_info.nuke            := s2_nuke && s2_troublem
1113  s2_out.rep_info.full_fwd        := s2_data_fwded
1114  s2_out.rep_info.data_inv_sq_idx := io.lsq.forward.dataInvalidSqIdx
1115  s2_out.rep_info.addr_inv_sq_idx := io.lsq.forward.addrInvalidSqIdx
1116  s2_out.rep_info.rep_carry       := io.dcache.resp.bits.replayCarry
1117  s2_out.rep_info.mshr_id         := io.dcache.resp.bits.mshr_id
1118  s2_out.rep_info.last_beat       := s2_in.paddr(log2Up(refillBytes))
1119  s2_out.rep_info.debug           := s2_in.uop.debugInfo
1120  s2_out.rep_info.tlb_id          := io.tlb_hint.id
1121  s2_out.rep_info.tlb_full        := io.tlb_hint.full
1122
1123  // if forward fail, replay this inst from fetch
1124  val debug_fwd_fail_rep = s2_fwd_fail && !s2_troublem && !s2_in.tlbMiss
1125  // if ld-ld violation is detected, replay from this inst from fetch
1126  val debug_ldld_nuke_rep = false.B // s2_ldld_violation && !s2_mmio && !s2_is_prefetch && !s2_in.tlbMiss
1127
1128  // to be removed
1129  io.feedback_fast.valid                 := false.B
1130  io.feedback_fast.bits.hit              := false.B
1131  io.feedback_fast.bits.flushState       := s2_in.ptwBack
1132  io.feedback_fast.bits.robIdx           := s2_in.uop.robIdx
1133  io.feedback_fast.bits.sqIdx            := s2_in.uop.sqIdx
1134  io.feedback_fast.bits.lqIdx            := s2_in.uop.lqIdx
1135  io.feedback_fast.bits.sourceType       := RSFeedbackType.lrqFull
1136  io.feedback_fast.bits.dataInvalidSqIdx := DontCare
1137
1138  io.ldCancel.ld1Cancel := false.B
1139
1140  // fast wakeup
1141  val s1_fast_uop_valid = WireInit(false.B)
1142  s1_fast_uop_valid :=
1143    !io.dcache.s1_disable_fast_wakeup &&
1144    s1_valid &&
1145    !s1_kill &&
1146    !io.tlb.resp.bits.miss &&
1147    !io.lsq.forward.dataInvalidFast
1148  io.fast_uop.valid := GatedValidRegNext(s1_fast_uop_valid) && (s2_valid && !s2_out.rep_info.need_rep && !s2_mmio) && !s2_isvec
1149  io.fast_uop.bits := RegEnable(s1_out.uop, s1_fast_uop_valid)
1150
1151  //
1152  io.s2_ptr_chasing                    := RegEnable(s1_try_ptr_chasing && !s1_cancel_ptr_chasing, false.B, s1_fire)
1153
1154  // RegNext prefetch train for better timing
1155  // ** Now, prefetch train is valid at load s3 **
1156  val s2_prefetch_train_valid = WireInit(false.B)
1157  s2_prefetch_train_valid              := s2_valid && !s2_actually_mmio && !s2_in.tlbMiss
1158  io.prefetch_train.valid              := GatedValidRegNext(s2_prefetch_train_valid)
1159  io.prefetch_train.bits.fromLsPipelineBundle(s2_in, latch = true, enable = s2_prefetch_train_valid)
1160  io.prefetch_train.bits.miss          := RegEnable(io.dcache.resp.bits.miss, s2_prefetch_train_valid) // TODO: use trace with bank conflict?
1161  io.prefetch_train.bits.meta_prefetch := RegEnable(io.dcache.resp.bits.meta_prefetch, s2_prefetch_train_valid)
1162  io.prefetch_train.bits.meta_access   := RegEnable(io.dcache.resp.bits.meta_access, s2_prefetch_train_valid)
1163  io.s1_prefetch_spec := s1_fire
1164  io.s2_prefetch_spec := s2_prefetch_train_valid
1165
1166  val s2_prefetch_train_l1_valid = WireInit(false.B)
1167  s2_prefetch_train_l1_valid              := s2_valid && !s2_actually_mmio
1168  io.prefetch_train_l1.valid              := GatedValidRegNext(s2_prefetch_train_l1_valid)
1169  io.prefetch_train_l1.bits.fromLsPipelineBundle(s2_in, latch = true, enable = s2_prefetch_train_l1_valid)
1170  io.prefetch_train_l1.bits.miss          := RegEnable(io.dcache.resp.bits.miss, s2_prefetch_train_l1_valid)
1171  io.prefetch_train_l1.bits.meta_prefetch := RegEnable(io.dcache.resp.bits.meta_prefetch, s2_prefetch_train_l1_valid)
1172  io.prefetch_train_l1.bits.meta_access   := RegEnable(io.dcache.resp.bits.meta_access, s2_prefetch_train_l1_valid)
1173  if (env.FPGAPlatform){
1174    io.dcache.s0_pc := DontCare
1175    io.dcache.s1_pc := DontCare
1176    io.dcache.s2_pc := DontCare
1177  }else{
1178    io.dcache.s0_pc := s0_out.uop.pc
1179    io.dcache.s1_pc := s1_out.uop.pc
1180    io.dcache.s2_pc := s2_out.uop.pc
1181  }
1182  io.dcache.s2_kill := s2_pmp.ld || s2_actually_mmio || s2_kill
1183
1184  val s1_ld_left_fire = s1_valid && !s1_kill && s2_ready
1185  val s2_ld_valid_dup = RegInit(0.U(6.W))
1186  s2_ld_valid_dup := 0x0.U(6.W)
1187  when (s1_ld_left_fire && !s1_out.isHWPrefetch) { s2_ld_valid_dup := 0x3f.U(6.W) }
1188  when (s1_kill || s1_out.isHWPrefetch) { s2_ld_valid_dup := 0x0.U(6.W) }
1189  assert(RegNext((s2_valid === s2_ld_valid_dup(0)) || RegNext(s1_out.isHWPrefetch)))
1190
1191  // Pipeline
1192  // --------------------------------------------------------------------------------
1193  // stage 3
1194  // --------------------------------------------------------------------------------
1195  // writeback and update load queue
1196  val s3_valid        = GatedValidRegNext(s2_valid && !s2_out.isHWPrefetch && !s2_out.uop.robIdx.needFlush(io.redirect))
1197  val s3_in           = RegEnable(s2_out, s2_fire)
1198  val s3_out          = Wire(Valid(new MemExuOutput))
1199  val s3_dcache_rep   = RegEnable(s2_dcache_fast_rep && s2_troublem, false.B, s2_fire)
1200  val s3_ld_valid_dup = RegEnable(s2_ld_valid_dup, s2_fire)
1201  val s3_fast_rep     = Wire(Bool())
1202  val s3_troublem     = GatedValidRegNext(s2_troublem)
1203  val s3_kill         = s3_in.uop.robIdx.needFlush(io.redirect)
1204  val s3_vecout       = Wire(new OnlyVecExuOutput)
1205  val s3_vecActive    = RegEnable(s2_out.vecActive, true.B, s2_fire)
1206  val s3_isvec        = RegEnable(s2_out.isvec, false.B, s2_fire)
1207  val s3_vec_alignedType = RegEnable(s2_out.alignedType, s2_fire)
1208  val s3_vec_mBIndex     = RegEnable(s2_out.mbIndex, s2_fire)
1209  val s3_mmio         = Wire(Valid(new MemExuOutput))
1210  // TODO: Fix vector load merge buffer nack
1211  val s3_vec_mb_nack  = Wire(Bool())
1212  s3_vec_mb_nack     := false.B
1213  XSError(s3_valid && s3_vec_mb_nack, "Merge buffer should always accept vector loads!")
1214
1215  s3_ready := !s3_valid || s3_kill || io.ldout.ready
1216  s3_mmio.valid := RegNextN(io.lsq.uncache.fire, 3, Some(false.B))
1217  s3_mmio.bits  := RegNextN(io.lsq.uncache.bits, 3)
1218
1219  // forwrad last beat
1220  val (s3_fwd_frm_d_chan, s3_fwd_data_frm_d_chan) = io.tl_d_channel.forward(s2_valid && s2_out.forward_tlDchannel, s2_out.mshrid, s2_out.paddr)
1221  val s3_fwd_data_valid = RegEnable(s2_fwd_data_valid, false.B, s2_valid)
1222  val s3_fwd_frm_d_chan_valid = (s3_fwd_frm_d_chan && s3_fwd_data_valid && s3_in.handledByMSHR)
1223  val s3_fast_rep_canceled = io.replay.valid && io.replay.bits.forward_tlDchannel || !io.dcache.req.ready
1224
1225  // s3 load fast replay
1226  io.fast_rep_out.valid := s3_valid && s3_fast_rep && !s3_in.uop.robIdx.needFlush(io.redirect)
1227  io.fast_rep_out.bits := s3_in
1228
1229  io.lsq.ldin.valid := s3_valid && (!s3_fast_rep || s3_fast_rep_canceled) && !s3_in.feedbacked
1230  // TODO: check this --by hx
1231  // io.lsq.ldin.valid := s3_valid && (!s3_fast_rep || !io.fast_rep_out.ready) && !s3_in.feedbacked && !s3_in.lateKill
1232  io.lsq.ldin.bits := s3_in
1233  io.lsq.ldin.bits.miss := s3_in.miss && !s3_fwd_frm_d_chan_valid
1234
1235  /* <------- DANGEROUS: Don't change sequence here ! -------> */
1236  io.lsq.ldin.bits.data_wen_dup := s3_ld_valid_dup.asBools
1237  io.lsq.ldin.bits.replacementUpdated := io.dcache.resp.bits.replacementUpdated
1238  io.lsq.ldin.bits.missDbUpdated := GatedValidRegNext(s2_fire && s2_in.hasROBEntry && !s2_in.tlbMiss && !s2_in.missDbUpdated)
1239
1240  val s3_dly_ld_err =
1241    if (EnableAccurateLoadError) {
1242      io.dcache.resp.bits.error_delayed && GatedValidRegNext(io.csrCtrl.cache_error_enable) && s3_troublem
1243    } else {
1244      WireInit(false.B)
1245    }
1246  io.s3_dly_ld_err := false.B // s3_dly_ld_err && s3_valid
1247  io.lsq.ldin.bits.dcacheRequireReplay  := s3_dcache_rep
1248  io.fast_rep_out.bits.delayedLoadError := s3_dly_ld_err
1249
1250  val s3_vp_match_fail = GatedValidRegNext(io.lsq.forward.matchInvalid || io.sbuffer.matchInvalid) && s3_troublem
1251  val s3_rep_frm_fetch = s3_vp_match_fail
1252  val s3_ldld_rep_inst =
1253      io.lsq.ldld_nuke_query.resp.valid &&
1254      io.lsq.ldld_nuke_query.resp.bits.rep_frm_fetch &&
1255      GatedValidRegNext(io.csrCtrl.ldld_vio_check_enable)
1256  val s3_flushPipe = s3_ldld_rep_inst
1257
1258  val s3_rep_info = WireInit(s3_in.rep_info)
1259  s3_rep_info.dcache_miss   := s3_in.rep_info.dcache_miss && !s3_fwd_frm_d_chan_valid
1260  val s3_sel_rep_cause = PriorityEncoderOH(s3_rep_info.cause.asUInt)
1261
1262  val s3_exception = ExceptionNO.selectByFu(s3_in.uop.exceptionVec, LduCfg).asUInt.orR && s3_vecActive
1263  when (s3_exception || s3_dly_ld_err || s3_rep_frm_fetch) {
1264    io.lsq.ldin.bits.rep_info.cause := 0.U.asTypeOf(s3_rep_info.cause.cloneType)
1265  } .otherwise {
1266    io.lsq.ldin.bits.rep_info.cause := VecInit(s3_sel_rep_cause.asBools)
1267  }
1268
1269  // Int load, if hit, will be writebacked at s3
1270  s3_out.valid                := s3_valid && !io.lsq.ldin.bits.rep_info.need_rep && !s3_in.mmio
1271  s3_out.bits.uop             := s3_in.uop
1272  s3_out.bits.uop.exceptionVec(loadAccessFault) := (s3_dly_ld_err || s3_in.uop.exceptionVec(loadAccessFault)) && s3_vecActive
1273  s3_out.bits.uop.flushPipe   := false.B
1274  s3_out.bits.uop.replayInst  := s3_rep_frm_fetch || s3_flushPipe
1275  s3_out.bits.data            := s3_in.data
1276  s3_out.bits.debug.isMMIO    := s3_in.mmio
1277  s3_out.bits.debug.isPerfCnt := false.B
1278  s3_out.bits.debug.paddr     := s3_in.paddr
1279  s3_out.bits.debug.vaddr     := s3_in.vaddr
1280
1281  // Vector load, writeback to merge buffer
1282  // TODO: Add assertion in merge buffer, merge buffer must accept vec load writeback
1283  s3_vecout.isvec             := s3_isvec
1284  s3_vecout.vecdata           := 0.U // Data will be assigned later
1285  s3_vecout.mask              := s3_in.mask
1286  // s3_vecout.rob_idx_valid     := s3_in.rob_idx_valid
1287  // s3_vecout.inner_idx         := s3_in.inner_idx
1288  // s3_vecout.rob_idx           := s3_in.rob_idx
1289  // s3_vecout.offset            := s3_in.offset
1290  s3_vecout.reg_offset        := s3_in.reg_offset
1291  s3_vecout.vecActive         := s3_vecActive
1292  s3_vecout.is_first_ele      := s3_in.is_first_ele
1293  // s3_vecout.uopQueuePtr       := DontCare // uopQueuePtr is already saved in flow queue
1294  // s3_vecout.flowPtr           := s3_in.flowPtr
1295  s3_vecout.elemIdx           := s3_in.elemIdx // elemIdx is already saved in flow queue // TODO:
1296  s3_vecout.elemIdxInsideVd   := s3_in.elemIdxInsideVd
1297  val s3_usSecondInv          = s3_in.usSecondInv
1298
1299  io.rollback.valid := s3_valid && (s3_rep_frm_fetch || s3_flushPipe) && !s3_exception
1300  io.rollback.bits             := DontCare
1301  io.rollback.bits.isRVC       := s3_out.bits.uop.preDecodeInfo.isRVC
1302  io.rollback.bits.robIdx      := s3_out.bits.uop.robIdx
1303  io.rollback.bits.ftqIdx      := s3_out.bits.uop.ftqPtr
1304  io.rollback.bits.ftqOffset   := s3_out.bits.uop.ftqOffset
1305  io.rollback.bits.level       := Mux(s3_rep_frm_fetch, RedirectLevel.flush, RedirectLevel.flushAfter)
1306  io.rollback.bits.cfiUpdate.target := s3_out.bits.uop.pc
1307  io.rollback.bits.debug_runahead_checkpoint_id := s3_out.bits.uop.debugInfo.runahead_checkpoint_id
1308  /* <------- DANGEROUS: Don't change sequence here ! -------> */
1309
1310  io.lsq.ldin.bits.uop := s3_out.bits.uop
1311
1312  val s3_revoke = s3_exception || io.lsq.ldin.bits.rep_info.need_rep
1313  io.lsq.ldld_nuke_query.revoke := s3_revoke
1314  io.lsq.stld_nuke_query.revoke := s3_revoke
1315
1316  // feedback slow
1317  s3_fast_rep := GatedValidRegNext(s2_fast_rep)
1318
1319  val s3_fb_no_waiting = !s3_in.isLoadReplay &&
1320                        (!(s3_fast_rep && !s3_fast_rep_canceled)) &&
1321                        !s3_in.feedbacked
1322
1323  // feedback: scalar load will send feedback to RS
1324  //           vector load will send signal to VL Merge Buffer, then send feedback at granularity of uops
1325  io.feedback_slow.valid                 := s3_valid && s3_fb_no_waiting && !s3_isvec
1326  io.feedback_slow.bits.hit              := !s3_rep_info.need_rep || io.lsq.ldin.ready
1327  io.feedback_slow.bits.flushState       := s3_in.ptwBack
1328  io.feedback_slow.bits.robIdx           := s3_in.uop.robIdx
1329  io.feedback_slow.bits.sqIdx            := s3_in.uop.sqIdx
1330  io.feedback_slow.bits.lqIdx            := s3_in.uop.lqIdx
1331  io.feedback_slow.bits.sourceType       := RSFeedbackType.lrqFull
1332  io.feedback_slow.bits.dataInvalidSqIdx := DontCare
1333
1334  io.ldCancel.ld2Cancel := s3_valid && (
1335    io.lsq.ldin.bits.rep_info.need_rep ||                       // exe fail or
1336    s3_in.mmio                                                  // is mmio
1337  ) && !s3_isvec
1338
1339  val s3_ld_wb_meta = Mux(s3_valid, s3_out.bits, s3_mmio.bits)
1340
1341  // data from load queue refill
1342  val s3_ld_raw_data_frm_uncache = RegNextN(io.lsq.ld_raw_data, 3)
1343  val s3_merged_data_frm_uncache = s3_ld_raw_data_frm_uncache.mergedData()
1344  val s3_picked_data_frm_uncache = LookupTree(s3_ld_raw_data_frm_uncache.addrOffset, List(
1345    "b000".U -> s3_merged_data_frm_uncache(63,  0),
1346    "b001".U -> s3_merged_data_frm_uncache(63,  8),
1347    "b010".U -> s3_merged_data_frm_uncache(63, 16),
1348    "b011".U -> s3_merged_data_frm_uncache(63, 24),
1349    "b100".U -> s3_merged_data_frm_uncache(63, 32),
1350    "b101".U -> s3_merged_data_frm_uncache(63, 40),
1351    "b110".U -> s3_merged_data_frm_uncache(63, 48),
1352    "b111".U -> s3_merged_data_frm_uncache(63, 56)
1353  ))
1354  val s3_ld_data_frm_uncache = rdataHelper(s3_ld_raw_data_frm_uncache.uop, s3_picked_data_frm_uncache)
1355
1356  // data from dcache hit
1357  val s3_ld_raw_data_frm_cache = Wire(new LoadDataFromDcacheBundle)
1358  s3_ld_raw_data_frm_cache.respDcacheData       := io.dcache.resp.bits.data_delayed
1359  s3_ld_raw_data_frm_cache.forwardMask          := RegEnable(s2_fwd_mask, s2_valid)
1360  s3_ld_raw_data_frm_cache.forwardData          := RegEnable(s2_fwd_data, s2_valid)
1361  s3_ld_raw_data_frm_cache.uop                  := RegEnable(s2_out.uop, s2_valid)
1362  s3_ld_raw_data_frm_cache.addrOffset           := RegEnable(s2_out.paddr(3, 0), s2_valid)
1363  s3_ld_raw_data_frm_cache.forward_D            := RegEnable(s2_fwd_frm_d_chan, false.B, s2_valid) || s3_fwd_frm_d_chan_valid
1364  s3_ld_raw_data_frm_cache.forwardData_D        := Mux(s3_fwd_frm_d_chan_valid, s3_fwd_data_frm_d_chan, RegEnable(s2_fwd_data_frm_d_chan, s2_valid))
1365  s3_ld_raw_data_frm_cache.forward_mshr         := RegEnable(s2_fwd_frm_mshr, false.B, s2_valid)
1366  s3_ld_raw_data_frm_cache.forwardData_mshr     := RegEnable(s2_fwd_data_frm_mshr, s2_valid)
1367  s3_ld_raw_data_frm_cache.forward_result_valid := RegEnable(s2_fwd_data_valid, false.B, s2_valid)
1368
1369  val s3_merged_data_frm_cache = s3_ld_raw_data_frm_cache.mergedData()
1370  val s3_picked_data_frm_cache = LookupTree(s3_ld_raw_data_frm_cache.addrOffset, List(
1371    "b0000".U -> s3_merged_data_frm_cache(63,    0),
1372    "b0001".U -> s3_merged_data_frm_cache(63,    8),
1373    "b0010".U -> s3_merged_data_frm_cache(63,   16),
1374    "b0011".U -> s3_merged_data_frm_cache(63,   24),
1375    "b0100".U -> s3_merged_data_frm_cache(63,   32),
1376    "b0101".U -> s3_merged_data_frm_cache(63,   40),
1377    "b0110".U -> s3_merged_data_frm_cache(63,   48),
1378    "b0111".U -> s3_merged_data_frm_cache(63,   56),
1379    "b1000".U -> s3_merged_data_frm_cache(127,  64),
1380    "b1001".U -> s3_merged_data_frm_cache(127,  72),
1381    "b1010".U -> s3_merged_data_frm_cache(127,  80),
1382    "b1011".U -> s3_merged_data_frm_cache(127,  88),
1383    "b1100".U -> s3_merged_data_frm_cache(127,  96),
1384    "b1101".U -> s3_merged_data_frm_cache(127, 104),
1385    "b1110".U -> s3_merged_data_frm_cache(127, 112),
1386    "b1111".U -> s3_merged_data_frm_cache(127, 120)
1387  ))
1388  val s3_ld_data_frm_cache = rdataHelper(s3_ld_raw_data_frm_cache.uop, s3_picked_data_frm_cache)
1389
1390  // FIXME: add 1 cycle delay ?
1391  // io.lsq.uncache.ready := !s3_valid
1392  val s3_outexception = ExceptionNO.selectByFu(s3_out.bits.uop.exceptionVec, LduCfg).asUInt.orR && s3_vecActive
1393  io.ldout.bits        := s3_ld_wb_meta
1394  io.ldout.bits.data   := Mux(s3_valid, Mux(!s3_outexception, s3_ld_data_frm_cache, 0.U), s3_ld_data_frm_uncache)
1395  io.ldout.valid       := (s3_out.valid && !s3_vecout.isvec || (s3_mmio.valid && !s3_valid))
1396  io.ldout.bits.uop.exceptionVec := ExceptionNO.selectByFu(s3_ld_wb_meta.uop.exceptionVec, LduCfg)
1397
1398  // TODO: check this --hx
1399  // io.ldout.valid       := s3_out.valid && !s3_out.bits.uop.robIdx.needFlush(io.redirect) && !s3_vecout.isvec ||
1400  //   io.lsq.uncache.valid && !io.lsq.uncache.bits.uop.robIdx.needFlush(io.redirect) && !s3_out.valid && !io.lsq.uncache.bits.isVls
1401  //  io.ldout.bits.data   := Mux(s3_out.valid, s3_ld_data_frm_cache, s3_ld_data_frm_uncache)
1402  //  io.ldout.valid       := s3_out.valid && !s3_out.bits.uop.robIdx.needFlush(io.redirect) ||
1403  //                         s3_mmio.valid && !s3_mmio.bits.uop.robIdx.needFlush(io.redirect) && !s3_out.valid
1404
1405  // s3 load fast replay
1406  io.fast_rep_out.valid := s3_valid && s3_fast_rep
1407  io.fast_rep_out.bits := s3_in
1408  io.fast_rep_out.bits.lateKill := s3_rep_frm_fetch
1409
1410  val vecFeedback = s3_valid && s3_fb_no_waiting && s3_rep_info.need_rep && !io.lsq.ldin.ready && s3_isvec
1411
1412  // vector output
1413  io.vecldout.bits.alignedType := s3_vec_alignedType
1414  // vec feedback
1415  io.vecldout.bits.vecFeedback := vecFeedback
1416  // TODO: VLSU, uncache data logic
1417  val vecdata = rdataVecHelper(s3_vec_alignedType(1,0), s3_picked_data_frm_cache)
1418  io.vecldout.bits.vecdata.get := Mux(s3_in.is128bit, s3_merged_data_frm_cache, vecdata)
1419  io.vecldout.bits.isvec := s3_vecout.isvec
1420  io.vecldout.bits.elemIdx := s3_vecout.elemIdx
1421  io.vecldout.bits.elemIdxInsideVd.get := s3_vecout.elemIdxInsideVd
1422  io.vecldout.bits.mask := s3_vecout.mask
1423  io.vecldout.bits.reg_offset.get := s3_vecout.reg_offset
1424  io.vecldout.bits.usSecondInv := s3_usSecondInv
1425  io.vecldout.bits.mBIndex := s3_vec_mBIndex
1426  io.vecldout.bits.hit := !s3_rep_info.need_rep || io.lsq.ldin.ready
1427  io.vecldout.bits.sourceType := RSFeedbackType.lrqFull
1428  io.vecldout.bits.flushState := DontCare
1429  io.vecldout.bits.exceptionVec := ExceptionNO.selectByFu(s3_out.bits.uop.exceptionVec, VlduCfg)
1430  io.vecldout.bits.vaddr := s3_in.vaddr
1431  io.vecldout.bits.mmio := DontCare
1432
1433  io.vecldout.valid := s3_out.valid && !s3_out.bits.uop.robIdx.needFlush(io.redirect) && s3_vecout.isvec ||
1434  // TODO: check this, why !io.lsq.uncache.bits.isVls before?
1435    io.lsq.uncache.valid && !io.lsq.uncache.bits.uop.robIdx.needFlush(io.redirect) && !s3_out.valid && io.lsq.uncache.bits.isVls
1436    //io.lsq.uncache.valid && !io.lsq.uncache.bits.uop.robIdx.needFlush(io.redirect) && !s3_out.valid && !io.lsq.uncache.bits.isVls
1437
1438  // fast load to load forward
1439  if (EnableLoadToLoadForward) {
1440    io.l2l_fwd_out.valid      := s3_valid && !s3_in.mmio && !s3_rep_info.need_rep
1441    io.l2l_fwd_out.data       := Mux(s3_in.vaddr(3), s3_merged_data_frm_cache(127, 64), s3_merged_data_frm_cache(63, 0))
1442    io.l2l_fwd_out.dly_ld_err := s3_dly_ld_err || // ecc delayed error
1443                                 s3_ldld_rep_inst ||
1444                                 s3_rep_frm_fetch
1445  } else {
1446    io.l2l_fwd_out.valid := false.B
1447    io.l2l_fwd_out.data := DontCare
1448    io.l2l_fwd_out.dly_ld_err := DontCare
1449  }
1450
1451   // trigger
1452  val last_valid_data = RegNext(RegEnable(io.ldout.bits.data, io.ldout.fire))
1453  val hit_ld_addr_trig_hit_vec = Wire(Vec(TriggerNum, Bool()))
1454  val lq_ld_addr_trig_hit_vec = io.lsq.trigger.lqLoadAddrTriggerHitVec
1455  (0 until TriggerNum).map{i => {
1456    val tdata2    = GatedRegNext(io.trigger(i).tdata2)
1457    val matchType = RegNext(io.trigger(i).matchType)
1458    val tEnable   = RegNext(io.trigger(i).tEnable)
1459
1460    hit_ld_addr_trig_hit_vec(i) := TriggerCmp(RegEnable(s2_out.vaddr, 0.U, s2_valid), tdata2, matchType, tEnable)
1461    io.trigger(i).addrHit       := Mux(s3_out.valid, hit_ld_addr_trig_hit_vec(i), lq_ld_addr_trig_hit_vec(i))
1462  }}
1463  io.lsq.trigger.hitLoadAddrTriggerHitVec := hit_ld_addr_trig_hit_vec
1464
1465  // s1
1466  io.debug_ls.s1_robIdx := s1_in.uop.robIdx.value
1467  io.debug_ls.s1_isLoadToLoadForward := s1_fire && s1_try_ptr_chasing && !s1_ptr_chasing_canceled
1468  io.debug_ls.s1_isTlbFirstMiss := s1_fire && s1_tlb_miss && s1_in.isFirstIssue
1469  // s2
1470  io.debug_ls.s2_robIdx := s2_in.uop.robIdx.value
1471  io.debug_ls.s2_isBankConflict := s2_fire && (!s2_kill && s2_bank_conflict)
1472  io.debug_ls.s2_isDcacheFirstMiss := s2_fire && io.dcache.resp.bits.miss && s2_in.isFirstIssue
1473  io.debug_ls.s2_isForwardFail := s2_fire && s2_fwd_fail
1474  // s3
1475  io.debug_ls.s3_robIdx := s3_in.uop.robIdx.value
1476  io.debug_ls.s3_isReplayFast := s3_valid && s3_fast_rep && !s3_fast_rep_canceled
1477  io.debug_ls.s3_isReplayRS :=  RegNext(io.feedback_fast.valid && !io.feedback_fast.bits.hit) || (io.feedback_slow.valid && !io.feedback_slow.bits.hit)
1478  io.debug_ls.s3_isReplaySlow := io.lsq.ldin.valid && io.lsq.ldin.bits.rep_info.need_rep
1479  io.debug_ls.s3_isReplay := s3_valid && s3_rep_info.need_rep // include fast+slow+rs replay
1480  io.debug_ls.replayCause := s3_rep_info.cause
1481  io.debug_ls.replayCnt := 1.U
1482
1483  // Topdown
1484  io.lsTopdownInfo.s1.robIdx          := s1_in.uop.robIdx.value
1485  io.lsTopdownInfo.s1.vaddr_valid     := s1_valid && s1_in.hasROBEntry
1486  io.lsTopdownInfo.s1.vaddr_bits      := s1_vaddr
1487  io.lsTopdownInfo.s2.robIdx          := s2_in.uop.robIdx.value
1488  io.lsTopdownInfo.s2.paddr_valid     := s2_fire && s2_in.hasROBEntry && !s2_in.tlbMiss
1489  io.lsTopdownInfo.s2.paddr_bits      := s2_in.paddr
1490  io.lsTopdownInfo.s2.first_real_miss := io.dcache.resp.bits.real_miss
1491  io.lsTopdownInfo.s2.cache_miss_en   := s2_fire && s2_in.hasROBEntry && !s2_in.tlbMiss && !s2_in.missDbUpdated
1492
1493  // perf cnt
1494  XSPerfAccumulate("s0_in_valid",                  io.ldin.valid)
1495  XSPerfAccumulate("s0_in_block",                  io.ldin.valid && !io.ldin.fire)
1496  XSPerfAccumulate("s0_vecin_valid",               io.vecldin.valid)
1497  XSPerfAccumulate("s0_vecin_block",               io.vecldin.valid && !io.vecldin.fire)
1498  XSPerfAccumulate("s0_in_fire_first_issue",       s0_valid && s0_sel_src.isFirstIssue)
1499  XSPerfAccumulate("s0_lsq_replay_issue",          io.replay.fire)
1500  XSPerfAccumulate("s0_lsq_replay_vecissue",       io.replay.fire && io.replay.bits.isvec)
1501  XSPerfAccumulate("s0_ldu_fire_first_issue",      io.ldin.fire && s0_sel_src.isFirstIssue)
1502  XSPerfAccumulate("s0_fast_replay_issue",         io.fast_rep_in.fire)
1503  XSPerfAccumulate("s0_fast_replay_vecissue",      io.fast_rep_in.fire && io.fast_rep_in.bits.isvec)
1504  XSPerfAccumulate("s0_stall_out",                 s0_valid && !s0_can_go)
1505  XSPerfAccumulate("s0_stall_dcache",              s0_valid && !io.dcache.req.ready)
1506  XSPerfAccumulate("s0_addr_spec_success",         s0_fire && s0_sel_src.vaddr(VAddrBits-1, 12) === io.ldin.bits.src(0)(VAddrBits-1, 12))
1507  XSPerfAccumulate("s0_addr_spec_failed",          s0_fire && s0_sel_src.vaddr(VAddrBits-1, 12) =/= io.ldin.bits.src(0)(VAddrBits-1, 12))
1508  XSPerfAccumulate("s0_addr_spec_success_once",    s0_fire && s0_sel_src.vaddr(VAddrBits-1, 12) === io.ldin.bits.src(0)(VAddrBits-1, 12) && s0_sel_src.isFirstIssue)
1509  XSPerfAccumulate("s0_addr_spec_failed_once",     s0_fire && s0_sel_src.vaddr(VAddrBits-1, 12) =/= io.ldin.bits.src(0)(VAddrBits-1, 12) && s0_sel_src.isFirstIssue)
1510  XSPerfAccumulate("s0_vec_addr_vlen_aligned",     s0_fire && s0_sel_src.isvec && s0_sel_src.vaddr(3, 0) === 0.U)
1511  XSPerfAccumulate("s0_vec_addr_vlen_unaligned",   s0_fire && s0_sel_src.isvec && s0_sel_src.vaddr(3, 0) =/= 0.U)
1512  XSPerfAccumulate("s0_forward_tl_d_channel",      s0_out.forward_tlDchannel)
1513  XSPerfAccumulate("s0_hardware_prefetch_fire",    s0_fire && s0_hw_prf_select)
1514  XSPerfAccumulate("s0_software_prefetch_fire",    s0_fire && s0_sel_src.prf && s0_int_iss_select)
1515  XSPerfAccumulate("s0_hardware_prefetch_blocked", io.prefetch_req.valid && !s0_hw_prf_select)
1516  XSPerfAccumulate("s0_hardware_prefetch_total",   io.prefetch_req.valid)
1517
1518  XSPerfAccumulate("s1_in_valid",                  s1_valid)
1519  XSPerfAccumulate("s1_in_fire",                   s1_fire)
1520  XSPerfAccumulate("s1_in_fire_first_issue",       s1_fire && s1_in.isFirstIssue)
1521  XSPerfAccumulate("s1_tlb_miss",                  s1_fire && s1_tlb_miss)
1522  XSPerfAccumulate("s1_tlb_miss_first_issue",      s1_fire && s1_tlb_miss && s1_in.isFirstIssue)
1523  XSPerfAccumulate("s1_stall_out",                 s1_valid && !s1_can_go)
1524  XSPerfAccumulate("s1_dly_err",                   s1_valid && s1_fast_rep_dly_err)
1525
1526  XSPerfAccumulate("s2_in_valid",                  s2_valid)
1527  XSPerfAccumulate("s2_in_fire",                   s2_fire)
1528  XSPerfAccumulate("s2_in_fire_first_issue",       s2_fire && s2_in.isFirstIssue)
1529  XSPerfAccumulate("s2_dcache_miss",               s2_fire && io.dcache.resp.bits.miss)
1530  XSPerfAccumulate("s2_dcache_miss_first_issue",   s2_fire && io.dcache.resp.bits.miss && s2_in.isFirstIssue)
1531  XSPerfAccumulate("s2_dcache_real_miss_first_issue",   s2_fire && io.dcache.resp.bits.miss && s2_in.isFirstIssue)
1532  XSPerfAccumulate("s2_full_forward",              s2_fire && s2_full_fwd)
1533  XSPerfAccumulate("s2_dcache_miss_full_forward",  s2_fire && s2_dcache_miss)
1534  XSPerfAccumulate("s2_fwd_frm_d_can",             s2_valid && s2_fwd_frm_d_chan)
1535  XSPerfAccumulate("s2_fwd_frm_d_chan_or_mshr",    s2_valid && s2_fwd_frm_d_chan_or_mshr)
1536  XSPerfAccumulate("s2_stall_out",                 s2_fire && !s2_can_go)
1537  XSPerfAccumulate("s2_prefetch",                  s2_fire && s2_prf)
1538  XSPerfAccumulate("s2_prefetch_ignored",          s2_fire && s2_prf && io.dcache.s2_mq_nack) // ignore prefetch for mshr full / miss req port conflict
1539  XSPerfAccumulate("s2_prefetch_miss",             s2_fire && s2_prf && io.dcache.resp.bits.miss) // prefetch req miss in l1
1540  XSPerfAccumulate("s2_prefetch_hit",              s2_fire && s2_prf && !io.dcache.resp.bits.miss) // prefetch req hit in l1
1541  XSPerfAccumulate("s2_prefetch_accept",           s2_fire && s2_prf && io.dcache.resp.bits.miss && !io.dcache.s2_mq_nack) // prefetch a missed line in l1, and l1 accepted it
1542  XSPerfAccumulate("s2_forward_req",               s2_fire && s2_in.forward_tlDchannel)
1543  XSPerfAccumulate("s2_successfully_forward_channel_D", s2_fire && s2_fwd_frm_d_chan && s2_fwd_data_valid)
1544  XSPerfAccumulate("s2_successfully_forward_mshr",      s2_fire && s2_fwd_frm_mshr && s2_fwd_data_valid)
1545
1546  XSPerfAccumulate("s3_fwd_frm_d_chan",            s3_valid && s3_fwd_frm_d_chan_valid)
1547
1548  XSPerfAccumulate("load_to_load_forward",                      s1_try_ptr_chasing && !s1_ptr_chasing_canceled)
1549  XSPerfAccumulate("load_to_load_forward_try",                  s1_try_ptr_chasing)
1550  XSPerfAccumulate("load_to_load_forward_fail",                 s1_cancel_ptr_chasing)
1551  XSPerfAccumulate("load_to_load_forward_fail_cancelled",       s1_cancel_ptr_chasing && s1_ptr_chasing_canceled)
1552  XSPerfAccumulate("load_to_load_forward_fail_wakeup_mismatch", s1_cancel_ptr_chasing && !s1_ptr_chasing_canceled && s1_not_fast_match)
1553  XSPerfAccumulate("load_to_load_forward_fail_op_not_ld",       s1_cancel_ptr_chasing && !s1_ptr_chasing_canceled && !s1_not_fast_match && s1_fu_op_type_not_ld)
1554  XSPerfAccumulate("load_to_load_forward_fail_addr_align",      s1_cancel_ptr_chasing && !s1_ptr_chasing_canceled && !s1_not_fast_match && !s1_fu_op_type_not_ld && s1_addr_misaligned)
1555  XSPerfAccumulate("load_to_load_forward_fail_set_mismatch",    s1_cancel_ptr_chasing && !s1_ptr_chasing_canceled && !s1_not_fast_match && !s1_fu_op_type_not_ld && !s1_addr_misaligned && s1_addr_mismatch)
1556
1557  // bug lyq: some signals in perfEvents are no longer suitable for the current MemBlock design
1558  // hardware performance counter
1559  val perfEvents = Seq(
1560    ("load_s0_in_fire         ", s0_fire                                                        ),
1561    ("load_to_load_forward    ", s1_fire && s1_try_ptr_chasing && !s1_ptr_chasing_canceled      ),
1562    ("stall_dcache            ", s0_valid && s0_can_go && !io.dcache.req.ready                  ),
1563    ("load_s1_in_fire         ", s0_fire                                                        ),
1564    ("load_s1_tlb_miss        ", s1_fire && io.tlb.resp.bits.miss                               ),
1565    ("load_s2_in_fire         ", s1_fire                                                        ),
1566    ("load_s2_dcache_miss     ", s2_fire && io.dcache.resp.bits.miss                            ),
1567  )
1568  generatePerfEvent()
1569
1570  when(io.ldout.fire){
1571    XSDebug("ldout %x\n", io.ldout.bits.uop.pc)
1572  }
1573  // end
1574}