xref: /XiangShan/src/main/scala/xiangshan/mem/pipeline/LoadUnit.scala (revision 94aa21c6009c2f39c5c5dae9c87260c78887efcc)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.mem
18
19import org.chipsalliance.cde.config.Parameters
20import chisel3._
21import chisel3.util._
22import utils._
23import utility._
24import xiangshan.ExceptionNO._
25import xiangshan._
26import xiangshan.backend.Bundles.{DynInst, MemExuInput, MemExuOutput}
27import xiangshan.backend.fu.PMPRespBundle
28import xiangshan.backend.fu.FuConfig._
29import xiangshan.backend.fu.FuType
30import xiangshan.backend.ctrlblock.{DebugLsInfoBundle, LsTopdownInfo}
31import xiangshan.backend.rob.RobPtr
32import xiangshan.backend.ctrlblock.DebugLsInfoBundle
33import xiangshan.backend.fu.NewCSR._
34import xiangshan.backend.fu.util.SdtrigExt
35import xiangshan.cache._
36import xiangshan.cache.wpu.ReplayCarry
37import xiangshan.cache.mmu._
38import xiangshan.mem.mdp._
39
40class LoadToLsqReplayIO(implicit p: Parameters) extends XSBundle
41  with HasDCacheParameters
42  with HasTlbConst
43{
44  // mshr refill index
45  val mshr_id         = UInt(log2Up(cfg.nMissEntries).W)
46  // get full data from store queue and sbuffer
47  val full_fwd        = Bool()
48  // wait for data from store inst's store queue index
49  val data_inv_sq_idx = new SqPtr
50  // wait for address from store queue index
51  val addr_inv_sq_idx = new SqPtr
52  // replay carry
53  val rep_carry       = new ReplayCarry(nWays)
54  // data in last beat
55  val last_beat       = Bool()
56  // replay cause
57  val cause           = Vec(LoadReplayCauses.allCauses, Bool())
58  // performance debug information
59  val debug           = new PerfDebugInfo
60  // tlb hint
61  val tlb_id          = UInt(log2Up(loadfiltersize).W)
62  val tlb_full        = Bool()
63
64  // alias
65  def mem_amb       = cause(LoadReplayCauses.C_MA)
66  def tlb_miss      = cause(LoadReplayCauses.C_TM)
67  def fwd_fail      = cause(LoadReplayCauses.C_FF)
68  def dcache_rep    = cause(LoadReplayCauses.C_DR)
69  def dcache_miss   = cause(LoadReplayCauses.C_DM)
70  def wpu_fail      = cause(LoadReplayCauses.C_WF)
71  def bank_conflict = cause(LoadReplayCauses.C_BC)
72  def rar_nack      = cause(LoadReplayCauses.C_RAR)
73  def raw_nack      = cause(LoadReplayCauses.C_RAW)
74  def misalign_nack = cause(LoadReplayCauses.C_MF)
75  def nuke          = cause(LoadReplayCauses.C_NK)
76  def need_rep      = cause.asUInt.orR
77}
78
79
80class LoadToLsqIO(implicit p: Parameters) extends XSBundle {
81  // ldu -> lsq UncacheBuffer
82  val ldin            = DecoupledIO(new LqWriteBundle)
83  // uncache-mmio -> ldu
84  val uncache         = Flipped(DecoupledIO(new MemExuOutput))
85  val ld_raw_data     = Input(new LoadDataFromLQBundle)
86  // uncache-nc -> ldu
87  val nc_ldin = Flipped(DecoupledIO(new LsPipelineBundle))
88  // storequeue -> ldu
89  val forward         = new PipeLoadForwardQueryIO
90  // ldu -> lsq LQRAW
91  val stld_nuke_query = new LoadNukeQueryIO
92  // ldu -> lsq LQRAR
93  val ldld_nuke_query = new LoadNukeQueryIO
94}
95
96class LoadToLoadIO(implicit p: Parameters) extends XSBundle {
97  val valid      = Bool()
98  val data       = UInt(XLEN.W) // load to load fast path is limited to ld (64 bit) used as vaddr src1 only
99  val dly_ld_err = Bool()
100}
101
102class LoadUnitTriggerIO(implicit p: Parameters) extends XSBundle {
103  val tdata2      = Input(UInt(64.W))
104  val matchType   = Input(UInt(2.W))
105  val tEnable     = Input(Bool()) // timing is calculated before this
106  val addrHit     = Output(Bool())
107}
108
109class LoadUnit(implicit p: Parameters) extends XSModule
110  with HasLoadHelper
111  with HasPerfEvents
112  with HasDCacheParameters
113  with HasCircularQueuePtrHelper
114  with HasVLSUParameters
115  with SdtrigExt
116{
117  val io = IO(new Bundle() {
118    // control
119    val redirect      = Flipped(ValidIO(new Redirect))
120    val csrCtrl       = Flipped(new CustomCSRCtrlIO)
121
122    // int issue path
123    val ldin          = Flipped(Decoupled(new MemExuInput))
124    val ldout         = Decoupled(new MemExuOutput)
125
126    // vec issue path
127    val vecldin = Flipped(Decoupled(new VecPipeBundle))
128    val vecldout = Decoupled(new VecPipelineFeedbackIO(isVStore = false))
129
130    // misalignBuffer issue path
131    val misalign_ldin = Flipped(Decoupled(new LsPipelineBundle))
132    val misalign_ldout = Valid(new LqWriteBundle)
133
134    // data path
135    val tlb           = new TlbRequestIO(2)
136    val pmp           = Flipped(new PMPRespBundle()) // arrive same to tlb now
137    val dcache        = new DCacheLoadIO
138    val sbuffer       = new LoadForwardQueryIO
139    val ubuffer       = new LoadForwardQueryIO
140    val lsq           = new LoadToLsqIO
141    val tl_d_channel  = Input(new DcacheToLduForwardIO)
142    val forward_mshr  = Flipped(new LduToMissqueueForwardIO)
143   // val refill        = Flipped(ValidIO(new Refill))
144    val l2_hint       = Input(Valid(new L2ToL1Hint))
145    val tlb_hint      = Flipped(new TlbHintReq)
146    // fast wakeup
147    // TODO: implement vector fast wakeup
148    val fast_uop = ValidIO(new DynInst) // early wakeup signal generated in load_s1, send to RS in load_s2
149
150    // trigger
151    val fromCsrTrigger = Input(new CsrTriggerBundle)
152
153    // prefetch
154    val prefetch_train            = ValidIO(new LdPrefetchTrainBundle()) // provide prefetch info to sms
155    val prefetch_train_l1         = ValidIO(new LdPrefetchTrainBundle()) // provide prefetch info to stream & stride
156    // speculative for gated control
157    val s1_prefetch_spec = Output(Bool())
158    val s2_prefetch_spec = Output(Bool())
159
160    val prefetch_req              = Flipped(ValidIO(new L1PrefetchReq)) // hardware prefetch to l1 cache req
161    val canAcceptLowConfPrefetch  = Output(Bool())
162    val canAcceptHighConfPrefetch = Output(Bool())
163
164    // ifetchPrefetch
165    val ifetchPrefetch = ValidIO(new SoftIfetchPrefetchBundle)
166
167    // load to load fast path
168    val l2l_fwd_in    = Input(new LoadToLoadIO)
169    val l2l_fwd_out   = Output(new LoadToLoadIO)
170
171    val ld_fast_match    = Input(Bool())
172    val ld_fast_fuOpType = Input(UInt())
173    val ld_fast_imm      = Input(UInt(12.W))
174
175    // rs feedback
176    val wakeup = ValidIO(new DynInst)
177    val feedback_fast = ValidIO(new RSFeedback) // stage 2
178    val feedback_slow = ValidIO(new RSFeedback) // stage 3
179    val ldCancel = Output(new LoadCancelIO()) // use to cancel the uops waked by this load, and cancel load
180
181    // load ecc error
182    val s3_dly_ld_err = Output(Bool()) // Note that io.s3_dly_ld_err and io.lsq.s3_dly_ld_err is different
183
184    // schedule error query
185    val stld_nuke_query = Flipped(Vec(StorePipelineWidth, Valid(new StoreNukeQueryIO)))
186
187    // queue-based replay
188    val replay       = Flipped(Decoupled(new LsPipelineBundle))
189    val lq_rep_full  = Input(Bool())
190
191    // misc
192    val s2_ptr_chasing = Output(Bool()) // provide right pc for hw prefetch
193
194    // Load fast replay path
195    val fast_rep_in  = Flipped(Decoupled(new LqWriteBundle))
196    val fast_rep_out = Decoupled(new LqWriteBundle)
197
198    // to misalign buffer
199    val misalign_buf = Decoupled(new LqWriteBundle)
200
201    // Load RAR rollback
202    val rollback = Valid(new Redirect)
203
204    // perf
205    val debug_ls         = Output(new DebugLsInfoBundle)
206    val lsTopdownInfo    = Output(new LsTopdownInfo)
207    val correctMissTrain = Input(Bool())
208  })
209
210  val s1_ready, s2_ready, s3_ready = WireInit(false.B)
211
212  // Pipeline
213  // --------------------------------------------------------------------------------
214  // stage 0
215  // --------------------------------------------------------------------------------
216  // generate addr, use addr to query DCache and DTLB
217  val s0_valid         = Wire(Bool())
218  val s0_mmio_select   = Wire(Bool())
219  val s0_nc_select     = Wire(Bool())
220  val s0_misalign_select= Wire(Bool())
221  val s0_kill          = Wire(Bool())
222  val s0_can_go        = s1_ready
223  val s0_fire          = s0_valid && s0_can_go
224  val s0_mmio_fire     = s0_mmio_select && s0_can_go
225  val s0_nc_fire       = s0_nc_select && s0_can_go
226  val s0_out           = Wire(new LqWriteBundle)
227  val s0_tlb_valid     = Wire(Bool())
228  val s0_tlb_hlv       = Wire(Bool())
229  val s0_tlb_hlvx      = Wire(Bool())
230  val s0_tlb_vaddr     = Wire(UInt(VAddrBits.W))
231  val s0_tlb_fullva    = Wire(UInt(XLEN.W))
232  val s0_dcache_vaddr  = Wire(UInt(VAddrBits.W))
233  val s0_is128bit      = Wire(Bool())
234  val s0_misalign_wakeup_fire = s0_misalign_select && s0_can_go && io.misalign_ldin.bits.misalignNeedWakeUp
235
236  // flow source bundle
237  class FlowSource extends Bundle {
238    val vaddr         = UInt(VAddrBits.W)
239    val mask          = UInt((VLEN/8).W)
240    val uop           = new DynInst
241    val try_l2l       = Bool()
242    val has_rob_entry = Bool()
243    val rep_carry     = new ReplayCarry(nWays)
244    val mshrid        = UInt(log2Up(cfg.nMissEntries).W)
245    val isFirstIssue  = Bool()
246    val fast_rep      = Bool()
247    val ld_rep        = Bool()
248    val l2l_fwd       = Bool()
249    val prf           = Bool()
250    val prf_rd        = Bool()
251    val prf_wr        = Bool()
252    val prf_i         = Bool()
253    val sched_idx     = UInt(log2Up(LoadQueueReplaySize+1).W)
254    // Record the issue port idx of load issue queue. This signal is used by load cancel.
255    val deqPortIdx    = UInt(log2Ceil(LoadPipelineWidth).W)
256    val frm_mabuf     = Bool()
257    // vec only
258    val isvec         = Bool()
259    val is128bit      = Bool()
260    val uop_unit_stride_fof = Bool()
261    val reg_offset    = UInt(vOffsetBits.W)
262    val vecActive     = Bool() // 1: vector active element or scala mem operation, 0: vector not active element
263    val is_first_ele  = Bool()
264    // val flowPtr       = new VlflowPtr
265    val usSecondInv   = Bool()
266    val mbIndex       = UInt(vlmBindexBits.W)
267    val elemIdx       = UInt(elemIdxBits.W)
268    val elemIdxInsideVd = UInt(elemIdxBits.W)
269    val alignedType   = UInt(alignTypeBits.W)
270    val vecBaseVaddr  = UInt(VAddrBits.W)
271    //for Svpbmt NC
272    val isnc          = Bool()
273    val paddr         = UInt(PAddrBits.W)
274    val data          = UInt((VLEN+1).W)
275  }
276  val s0_sel_src = Wire(new FlowSource)
277
278  // load flow select/gen
279  // src 0: misalignBuffer load (io.misalign_ldin)
280  // src 1: super load replayed by LSQ (cache miss replay) (io.replay)
281  // src 2: fast load replay (io.fast_rep_in)
282  // src 3: mmio (io.lsq.uncache)
283  // src 4: nc (io.lsq.nc_ldin)
284  // src 5: load replayed by LSQ (io.replay)
285  // src 6: hardware prefetch from prefetchor (high confidence) (io.prefetch)
286  // NOTE: Now vec/int loads are sent from same RS
287  //       A vec load will be splited into multiple uops,
288  //       so as long as one uop is issued,
289  //       the other uops should have higher priority
290  // src 7: vec read from RS (io.vecldin)
291  // src 8: int read / software prefetch first issue from RS (io.in)
292  // src 9: load try pointchaising when no issued or replayed load (io.fastpath)
293  // src10: hardware prefetch from prefetchor (high confidence) (io.prefetch)
294  // priority: high to low
295  val s0_rep_stall           = io.ldin.valid && isAfter(io.replay.bits.uop.robIdx, io.ldin.bits.uop.robIdx)
296  private val SRC_NUM = 11
297  private val Seq(
298    mab_idx, super_rep_idx, fast_rep_idx, mmio_idx, nc_idx, lsq_rep_idx,
299    high_pf_idx, vec_iss_idx, int_iss_idx, l2l_fwd_idx, low_pf_idx
300  ) = (0 until SRC_NUM).toSeq
301  // load flow source valid
302  val s0_src_valid_vec = WireInit(VecInit(Seq(
303    io.misalign_ldin.valid,
304    io.replay.valid && io.replay.bits.forward_tlDchannel,
305    io.fast_rep_in.valid,
306    io.lsq.uncache.valid,
307    io.lsq.nc_ldin.valid,
308    io.replay.valid && !io.replay.bits.forward_tlDchannel && !s0_rep_stall,
309    io.prefetch_req.valid && io.prefetch_req.bits.confidence > 0.U,
310    io.vecldin.valid,
311    io.ldin.valid, // int flow first issue or software prefetch
312    io.l2l_fwd_in.valid,
313    io.prefetch_req.valid && io.prefetch_req.bits.confidence === 0.U,
314  )))
315  // load flow source ready
316  val s0_src_ready_vec = Wire(Vec(SRC_NUM, Bool()))
317  s0_src_ready_vec(0) := true.B
318  for(i <- 1 until SRC_NUM){
319    s0_src_ready_vec(i) := !s0_src_valid_vec.take(i).reduce(_ || _)
320  }
321  // load flow source select (OH)
322  val s0_src_select_vec = WireInit(VecInit((0 until SRC_NUM).map{i => s0_src_valid_vec(i) && s0_src_ready_vec(i)}))
323  val s0_hw_prf_select = s0_src_select_vec(high_pf_idx) || s0_src_select_vec(low_pf_idx)
324
325  val s0_tlb_no_query = s0_hw_prf_select || s0_sel_src.prf_i ||
326    s0_src_select_vec(fast_rep_idx) || s0_src_select_vec(mmio_idx) ||
327    s0_src_select_vec(nc_idx)
328  s0_valid := !s0_kill && (s0_src_select_vec(nc_idx) || ((
329    s0_src_valid_vec(mab_idx) ||
330    s0_src_valid_vec(super_rep_idx) ||
331    s0_src_valid_vec(fast_rep_idx) ||
332    s0_src_valid_vec(lsq_rep_idx) ||
333    s0_src_valid_vec(high_pf_idx) ||
334    s0_src_valid_vec(vec_iss_idx) ||
335    s0_src_valid_vec(int_iss_idx) ||
336    s0_src_valid_vec(l2l_fwd_idx) ||
337    s0_src_valid_vec(low_pf_idx)
338  ) && !s0_src_select_vec(mmio_idx) && io.dcache.req.ready))
339
340  s0_mmio_select := s0_src_select_vec(mmio_idx) && !s0_kill
341  s0_nc_select := s0_src_select_vec(nc_idx) && !s0_kill
342  //judgment: is NC with data or not.
343  //If true, it's from `io.lsq.nc_ldin` or `io.fast_rep_in`
344  val s0_nc_with_data = s0_sel_src.isnc && !s0_kill
345  s0_misalign_select := s0_src_select_vec(mab_idx) && !s0_kill
346
347   // if is hardware prefetch or fast replay, don't send valid to tlb
348  s0_tlb_valid := (
349    s0_src_valid_vec(mab_idx) ||
350    s0_src_valid_vec(super_rep_idx) ||
351    s0_src_valid_vec(lsq_rep_idx) ||
352    s0_src_valid_vec(vec_iss_idx) ||
353    s0_src_valid_vec(int_iss_idx) ||
354    s0_src_valid_vec(l2l_fwd_idx)
355  ) && io.dcache.req.ready
356
357  // which is S0's out is ready and dcache is ready
358  val s0_try_ptr_chasing      = s0_src_select_vec(l2l_fwd_idx)
359  val s0_do_try_ptr_chasing   = s0_try_ptr_chasing && s0_can_go && io.dcache.req.ready
360  val s0_ptr_chasing_vaddr    = io.l2l_fwd_in.data(5, 0) +& io.ld_fast_imm(5, 0)
361  val s0_ptr_chasing_canceled = WireInit(false.B)
362  s0_kill := s0_ptr_chasing_canceled
363
364  // prefetch related ctrl signal
365  io.canAcceptLowConfPrefetch  := s0_src_ready_vec(low_pf_idx) && io.dcache.req.ready
366  io.canAcceptHighConfPrefetch := s0_src_ready_vec(high_pf_idx) && io.dcache.req.ready
367
368  // query DTLB
369  io.tlb.req.valid                   := s0_tlb_valid
370  io.tlb.req.bits.cmd                := Mux(s0_sel_src.prf,
371                                         Mux(s0_sel_src.prf_wr, TlbCmd.write, TlbCmd.read),
372                                         TlbCmd.read
373                                       )
374  io.tlb.req.bits.isPrefetch         := s0_sel_src.prf
375  io.tlb.req.bits.vaddr              := s0_tlb_vaddr
376  io.tlb.req.bits.fullva             := s0_tlb_fullva
377  io.tlb.req.bits.checkfullva        := s0_src_select_vec(vec_iss_idx) || s0_src_select_vec(int_iss_idx)
378  io.tlb.req.bits.hyperinst          := s0_tlb_hlv
379  io.tlb.req.bits.hlvx               := s0_tlb_hlvx
380  io.tlb.req.bits.size               := Mux(s0_sel_src.isvec, s0_sel_src.alignedType(2,0), LSUOpType.size(s0_sel_src.uop.fuOpType))
381  io.tlb.req.bits.kill               := s0_kill || s0_tlb_no_query // if does not need to be translated, kill it
382  io.tlb.req.bits.memidx.is_ld       := true.B
383  io.tlb.req.bits.memidx.is_st       := false.B
384  io.tlb.req.bits.memidx.idx         := s0_sel_src.uop.lqIdx.value
385  io.tlb.req.bits.debug.robIdx       := s0_sel_src.uop.robIdx
386  io.tlb.req.bits.no_translate       := s0_tlb_no_query  // hardware prefetch and fast replay does not need to be translated, need this signal for pmp check
387  io.tlb.req.bits.debug.pc           := s0_sel_src.uop.pc
388  io.tlb.req.bits.debug.isFirstIssue := s0_sel_src.isFirstIssue
389
390  // query DCache
391  io.dcache.req.valid             := s0_valid && !s0_sel_src.prf_i && !s0_nc_with_data
392  io.dcache.req.bits.cmd          := Mux(s0_sel_src.prf_rd,
393                                      MemoryOpConstants.M_PFR,
394                                      Mux(s0_sel_src.prf_wr, MemoryOpConstants.M_PFW, MemoryOpConstants.M_XRD)
395                                    )
396  io.dcache.req.bits.vaddr        := s0_dcache_vaddr
397  io.dcache.req.bits.mask         := s0_sel_src.mask
398  io.dcache.req.bits.data         := DontCare
399  io.dcache.req.bits.isFirstIssue := s0_sel_src.isFirstIssue
400  io.dcache.req.bits.instrtype    := Mux(s0_sel_src.prf, DCACHE_PREFETCH_SOURCE.U, LOAD_SOURCE.U)
401  io.dcache.req.bits.debug_robIdx := s0_sel_src.uop.robIdx.value
402  io.dcache.req.bits.replayCarry  := s0_sel_src.rep_carry
403  io.dcache.req.bits.id           := DontCare // TODO: update cache meta
404  io.dcache.req.bits.lqIdx        := s0_sel_src.uop.lqIdx
405  io.dcache.pf_source             := Mux(s0_hw_prf_select, io.prefetch_req.bits.pf_source.value, L1_HW_PREFETCH_NULL)
406  io.dcache.is128Req              := s0_is128bit
407
408  // load flow priority mux
409  def fromNullSource(): FlowSource = {
410    val out = WireInit(0.U.asTypeOf(new FlowSource))
411    out
412  }
413
414  def fromMisAlignBufferSource(src: LsPipelineBundle): FlowSource = {
415    val out = WireInit(0.U.asTypeOf(new FlowSource))
416    out.vaddr         := src.vaddr
417    out.mask          := src.mask
418    out.uop           := src.uop
419    out.try_l2l       := false.B
420    out.has_rob_entry := false.B
421    out.rep_carry     := src.replayCarry
422    out.mshrid        := src.mshrid
423    out.frm_mabuf     := true.B
424    out.isFirstIssue  := false.B
425    out.fast_rep      := false.B
426    out.ld_rep        := false.B
427    out.l2l_fwd       := false.B
428    out.prf           := false.B
429    out.prf_rd        := false.B
430    out.prf_wr        := false.B
431    out.sched_idx     := src.schedIndex
432    out.isvec         := src.isvec
433    out.is128bit      := src.is128bit
434    out.vecActive     := true.B
435    out
436  }
437
438  def fromFastReplaySource(src: LqWriteBundle): FlowSource = {
439    val out = WireInit(0.U.asTypeOf(new FlowSource))
440    out.vaddr         := src.vaddr
441    out.paddr         := src.paddr
442    out.mask          := src.mask
443    out.uop           := src.uop
444    out.try_l2l       := false.B
445    out.has_rob_entry := src.hasROBEntry
446    out.rep_carry     := src.rep_info.rep_carry
447    out.mshrid        := src.rep_info.mshr_id
448    out.frm_mabuf     := src.isFrmMisAlignBuf
449    out.isFirstIssue  := false.B
450    out.fast_rep      := true.B
451    out.ld_rep        := src.isLoadReplay
452    out.l2l_fwd       := false.B
453    out.prf           := LSUOpType.isPrefetch(src.uop.fuOpType) && !src.isvec
454    out.prf_rd        := src.uop.fuOpType === LSUOpType.prefetch_r
455    out.prf_wr        := src.uop.fuOpType === LSUOpType.prefetch_w
456    out.prf_i         := false.B
457    out.sched_idx     := src.schedIndex
458    out.isvec         := src.isvec
459    out.is128bit      := src.is128bit
460    out.uop_unit_stride_fof := src.uop_unit_stride_fof
461    out.reg_offset    := src.reg_offset
462    out.vecActive     := src.vecActive
463    out.is_first_ele  := src.is_first_ele
464    out.usSecondInv   := src.usSecondInv
465    out.mbIndex       := src.mbIndex
466    out.elemIdx       := src.elemIdx
467    out.elemIdxInsideVd := src.elemIdxInsideVd
468    out.alignedType   := src.alignedType
469    out.isnc          := src.nc
470    out.data          := src.data
471    out
472  }
473
474  // TODO: implement vector mmio
475  def fromMmioSource(src: MemExuOutput) = {
476    val out = WireInit(0.U.asTypeOf(new FlowSource))
477    out.mask          := 0.U
478    out.uop           := src.uop
479    out.try_l2l       := false.B
480    out.has_rob_entry := false.B
481    out.rep_carry     := 0.U.asTypeOf(out.rep_carry)
482    out.mshrid        := 0.U
483    out.frm_mabuf     := false.B
484    out.isFirstIssue  := false.B
485    out.fast_rep      := false.B
486    out.ld_rep        := false.B
487    out.l2l_fwd       := false.B
488    out.prf           := false.B
489    out.prf_rd        := false.B
490    out.prf_wr        := false.B
491    out.prf_i         := false.B
492    out.sched_idx     := 0.U
493    out.vecActive     := true.B
494    out
495  }
496
497  def fromNcSource(src: LsPipelineBundle): FlowSource = {
498    val out = WireInit(0.U.asTypeOf(new FlowSource))
499    out.vaddr := src.vaddr
500    out.paddr := src.paddr
501    out.mask := genVWmask(src.vaddr, src.uop.fuOpType(1,0))
502    out.uop := src.uop
503    out.has_rob_entry := true.B
504    out.sched_idx := src.schedIndex
505    out.isvec := src.isvec
506    out.is128bit := src.is128bit
507    out.vecActive := src.vecActive
508    out.isnc := true.B
509    out.data := src.data
510    out
511  }
512
513  def fromNormalReplaySource(src: LsPipelineBundle): FlowSource = {
514    val out = WireInit(0.U.asTypeOf(new FlowSource))
515    out.mask          := Mux(src.isvec, src.mask, genVWmask(src.vaddr, src.uop.fuOpType(1, 0)))
516    out.uop           := src.uop
517    out.try_l2l       := false.B
518    out.has_rob_entry := true.B
519    out.rep_carry     := src.replayCarry
520    out.mshrid        := src.mshrid
521    out.frm_mabuf     := false.B
522    out.isFirstIssue  := false.B
523    out.fast_rep      := false.B
524    out.ld_rep        := true.B
525    out.l2l_fwd       := false.B
526    out.prf           := LSUOpType.isPrefetch(src.uop.fuOpType) && !src.isvec
527    out.prf_rd        := src.uop.fuOpType === LSUOpType.prefetch_r
528    out.prf_wr        := src.uop.fuOpType === LSUOpType.prefetch_w
529    out.prf_i         := false.B
530    out.sched_idx     := src.schedIndex
531    out.isvec         := src.isvec
532    out.is128bit      := src.is128bit
533    out.uop_unit_stride_fof := src.uop_unit_stride_fof
534    out.reg_offset    := src.reg_offset
535    out.vecActive     := src.vecActive
536    out.is_first_ele  := src.is_first_ele
537    out.usSecondInv   := src.usSecondInv
538    out.mbIndex       := src.mbIndex
539    out.elemIdx       := src.elemIdx
540    out.elemIdxInsideVd := src.elemIdxInsideVd
541    out.alignedType   := src.alignedType
542    out
543  }
544
545  // TODO: implement vector prefetch
546  def fromPrefetchSource(src: L1PrefetchReq): FlowSource = {
547    val out = WireInit(0.U.asTypeOf(new FlowSource))
548    out.mask          := 0.U
549    out.uop           := DontCare
550    out.try_l2l       := false.B
551    out.has_rob_entry := false.B
552    out.rep_carry     := 0.U.asTypeOf(out.rep_carry)
553    out.mshrid        := 0.U
554    out.frm_mabuf     := false.B
555    out.isFirstIssue  := false.B
556    out.fast_rep      := false.B
557    out.ld_rep        := false.B
558    out.l2l_fwd       := false.B
559    out.prf           := true.B
560    out.prf_rd        := !src.is_store
561    out.prf_wr        := src.is_store
562    out.prf_i         := false.B
563    out.sched_idx     := 0.U
564    out
565  }
566
567  def fromVecIssueSource(src: VecPipeBundle): FlowSource = {
568    val out = WireInit(0.U.asTypeOf(new FlowSource))
569    out.mask          := src.mask
570    out.uop           := src.uop
571    out.try_l2l       := false.B
572    out.has_rob_entry := true.B
573    // TODO: VLSU, implement replay carry
574    out.rep_carry     := 0.U.asTypeOf(out.rep_carry)
575    out.mshrid        := 0.U
576    out.frm_mabuf     := false.B
577    // TODO: VLSU, implement first issue
578//    out.isFirstIssue  := src.isFirstIssue
579    out.fast_rep      := false.B
580    out.ld_rep        := false.B
581    out.l2l_fwd       := false.B
582    out.prf           := false.B
583    out.prf_rd        := false.B
584    out.prf_wr        := false.B
585    out.prf_i         := false.B
586    out.sched_idx     := 0.U
587    // Vector load interface
588    out.isvec               := true.B
589    // vector loads only access a single element at a time, so 128-bit path is not used for now
590    out.is128bit            := is128Bit(src.alignedType)
591    out.uop_unit_stride_fof := src.uop_unit_stride_fof
592    // out.rob_idx_valid       := src.rob_idx_valid
593    // out.inner_idx           := src.inner_idx
594    // out.rob_idx             := src.rob_idx
595    out.reg_offset          := src.reg_offset
596    // out.offset              := src.offset
597    out.vecActive           := src.vecActive
598    out.is_first_ele        := src.is_first_ele
599    // out.flowPtr             := src.flowPtr
600    out.usSecondInv         := src.usSecondInv
601    out.mbIndex             := src.mBIndex
602    out.elemIdx             := src.elemIdx
603    out.elemIdxInsideVd     := src.elemIdxInsideVd
604    out.vecBaseVaddr        := src.basevaddr
605    out.alignedType         := src.alignedType
606    out
607  }
608
609  def fromIntIssueSource(src: MemExuInput): FlowSource = {
610    val out = WireInit(0.U.asTypeOf(new FlowSource))
611    val addr           = io.ldin.bits.src(0) + SignExt(io.ldin.bits.uop.imm(11, 0), VAddrBits)
612    out.mask          := genVWmask(addr, src.uop.fuOpType(1,0))
613    out.uop           := src.uop
614    out.try_l2l       := false.B
615    out.has_rob_entry := true.B
616    out.rep_carry     := 0.U.asTypeOf(out.rep_carry)
617    out.mshrid        := 0.U
618    out.frm_mabuf     := false.B
619    out.isFirstIssue  := true.B
620    out.fast_rep      := false.B
621    out.ld_rep        := false.B
622    out.l2l_fwd       := false.B
623    out.prf           := LSUOpType.isPrefetch(src.uop.fuOpType)
624    out.prf_rd        := src.uop.fuOpType === LSUOpType.prefetch_r
625    out.prf_wr        := src.uop.fuOpType === LSUOpType.prefetch_w
626    out.prf_i         := src.uop.fuOpType === LSUOpType.prefetch_i
627    out.sched_idx     := 0.U
628    out.vecActive     := true.B // true for scala load
629    out
630  }
631
632  // TODO: implement vector l2l
633  def fromLoadToLoadSource(src: LoadToLoadIO): FlowSource = {
634    val out = WireInit(0.U.asTypeOf(new FlowSource))
635    out.mask               := genVWmask(0.U, LSUOpType.ld)
636    // When there's no valid instruction from RS and LSQ, we try the load-to-load forwarding.
637    // Assume the pointer chasing is always ld.
638    out.uop.fuOpType       := LSUOpType.ld
639    out.try_l2l            := true.B
640    // we dont care out.isFirstIssue and out.rsIdx and s0_sqIdx in S0 when trying pointchasing
641    // because these signals will be updated in S1
642    out.has_rob_entry      := false.B
643    out.mshrid             := 0.U
644    out.frm_mabuf          := false.B
645    out.rep_carry          := 0.U.asTypeOf(out.rep_carry)
646    out.isFirstIssue       := true.B
647    out.fast_rep           := false.B
648    out.ld_rep             := false.B
649    out.l2l_fwd            := true.B
650    out.prf                := false.B
651    out.prf_rd             := false.B
652    out.prf_wr             := false.B
653    out.prf_i              := false.B
654    out.sched_idx          := 0.U
655    out
656  }
657
658  // set default
659  val s0_src_selector = WireInit(s0_src_valid_vec)
660  if (!EnableLoadToLoadForward) { s0_src_selector(l2l_fwd_idx) := false.B }
661  val s0_src_format = Seq(
662    fromMisAlignBufferSource(io.misalign_ldin.bits),
663    fromNormalReplaySource(io.replay.bits),
664    fromFastReplaySource(io.fast_rep_in.bits),
665    fromMmioSource(io.lsq.uncache.bits),
666    fromNcSource(io.lsq.nc_ldin.bits),
667    fromNormalReplaySource(io.replay.bits),
668    fromPrefetchSource(io.prefetch_req.bits),
669    fromVecIssueSource(io.vecldin.bits),
670    fromIntIssueSource(io.ldin.bits),
671    (if (EnableLoadToLoadForward) fromLoadToLoadSource(io.l2l_fwd_in) else fromNullSource()),
672    fromPrefetchSource(io.prefetch_req.bits)
673  )
674  s0_sel_src := ParallelPriorityMux(s0_src_selector, s0_src_format)
675
676  // fast replay and hardware prefetch don't need to query tlb
677  val int_issue_vaddr = io.ldin.bits.src(0) + SignExt(io.ldin.bits.uop.imm(11, 0), VAddrBits)
678  val int_vec_vaddr = Mux(s0_src_valid_vec(vec_iss_idx), io.vecldin.bits.vaddr(VAddrBits - 1, 0), int_issue_vaddr)
679  s0_tlb_vaddr := Mux(
680    s0_src_valid_vec(mab_idx),
681    io.misalign_ldin.bits.vaddr,
682    Mux(
683      s0_src_valid_vec(super_rep_idx) || s0_src_valid_vec(lsq_rep_idx),
684      io.replay.bits.vaddr,
685      int_vec_vaddr
686    )
687  )
688  s0_dcache_vaddr := Mux(
689    s0_src_select_vec(fast_rep_idx), io.fast_rep_in.bits.vaddr,
690    Mux(s0_hw_prf_select, io.prefetch_req.bits.getVaddr(),
691    Mux(s0_src_select_vec(nc_idx), io.lsq.nc_ldin.bits.vaddr, // not for dcache access, but for address alignment check
692    s0_tlb_vaddr))
693  )
694
695  val s0_alignType = Mux(s0_sel_src.isvec, s0_sel_src.alignedType(1,0), s0_sel_src.uop.fuOpType(1, 0))
696
697  val s0_addr_aligned = LookupTree(s0_alignType, List(
698    "b00".U   -> true.B,                   //b
699    "b01".U   -> (s0_dcache_vaddr(0)    === 0.U), //h
700    "b10".U   -> (s0_dcache_vaddr(1, 0) === 0.U), //w
701    "b11".U   -> (s0_dcache_vaddr(2, 0) === 0.U)  //d
702  ))
703  // address align check
704  XSError(s0_sel_src.isvec && s0_dcache_vaddr(3, 0) =/= 0.U && s0_sel_src.alignedType(2), "unit-stride 128 bit element is not aligned!")
705
706  val s0_check_vaddr_low = s0_dcache_vaddr(4, 0)
707  val s0_check_vaddr_Up_low = LookupTree(s0_alignType, List(
708    "b00".U -> 0.U,
709    "b01".U -> 1.U,
710    "b10".U -> 3.U,
711    "b11".U -> 7.U
712  )) + s0_check_vaddr_low
713  //TODO vec?
714  val s0_rs_cross16Bytes = s0_check_vaddr_Up_low(4) =/= s0_check_vaddr_low(4)
715  val s0_misalignWith16Byte = !s0_rs_cross16Bytes && !s0_addr_aligned && !s0_hw_prf_select
716  val s0_misalignNeedWakeUp = s0_sel_src.frm_mabuf && io.misalign_ldin.bits.misalignNeedWakeUp
717  val s0_finalSplit = s0_sel_src.frm_mabuf && io.misalign_ldin.bits.isFinalSplit
718  s0_is128bit := s0_sel_src.is128bit || s0_misalignWith16Byte
719
720  // only first issue of int / vec load intructions need to check full vaddr
721  s0_tlb_fullva := Mux(s0_src_valid_vec(mab_idx),
722    io.misalign_ldin.bits.fullva,
723    Mux(s0_src_select_vec(vec_iss_idx),
724      io.vecldin.bits.vaddr,
725      Mux(
726        s0_src_select_vec(int_iss_idx),
727        io.ldin.bits.src(0) + SignExt(io.ldin.bits.uop.imm(11, 0), XLEN),
728        s0_dcache_vaddr
729      )
730    )
731  )
732
733  s0_tlb_hlv := Mux(
734    s0_src_valid_vec(mab_idx),
735    LSUOpType.isHlv(io.misalign_ldin.bits.uop.fuOpType),
736    Mux(
737      s0_src_valid_vec(super_rep_idx) || s0_src_valid_vec(lsq_rep_idx),
738      LSUOpType.isHlv(io.replay.bits.uop.fuOpType),
739      Mux(
740        s0_src_valid_vec(int_iss_idx),
741        LSUOpType.isHlv(io.ldin.bits.uop.fuOpType),
742        false.B
743      )
744    )
745  )
746  s0_tlb_hlvx := Mux(
747    s0_src_valid_vec(mab_idx),
748    LSUOpType.isHlvx(io.misalign_ldin.bits.uop.fuOpType),
749    Mux(
750      s0_src_valid_vec(super_rep_idx) || s0_src_valid_vec(lsq_rep_idx),
751      LSUOpType.isHlvx(io.replay.bits.uop.fuOpType),
752      Mux(
753        s0_src_valid_vec(int_iss_idx),
754        LSUOpType.isHlvx(io.ldin.bits.uop.fuOpType),
755        false.B
756      )
757    )
758  )
759
760  // accept load flow if dcache ready (tlb is always ready)
761  // TODO: prefetch need writeback to loadQueueFlag
762  s0_out               := DontCare
763  s0_out.vaddr         := Mux(s0_nc_with_data, s0_sel_src.vaddr, s0_dcache_vaddr)
764  s0_out.fullva        := s0_tlb_fullva
765  s0_out.mask          := s0_sel_src.mask
766  s0_out.uop           := s0_sel_src.uop
767  s0_out.isFirstIssue  := s0_sel_src.isFirstIssue
768  s0_out.hasROBEntry   := s0_sel_src.has_rob_entry
769  s0_out.isPrefetch    := s0_sel_src.prf
770  s0_out.isHWPrefetch  := s0_hw_prf_select
771  s0_out.isFastReplay  := s0_sel_src.fast_rep
772  s0_out.isLoadReplay  := s0_sel_src.ld_rep
773  s0_out.isFastPath    := s0_sel_src.l2l_fwd
774  s0_out.mshrid        := s0_sel_src.mshrid
775  s0_out.isvec           := s0_sel_src.isvec
776  s0_out.is128bit        := s0_is128bit
777  s0_out.isFrmMisAlignBuf    := s0_sel_src.frm_mabuf
778  s0_out.uop_unit_stride_fof := s0_sel_src.uop_unit_stride_fof
779  s0_out.paddr         :=
780    Mux(s0_src_valid_vec(nc_idx), io.lsq.nc_ldin.bits.paddr,
781    Mux(s0_src_valid_vec(fast_rep_idx), io.fast_rep_in.bits.paddr,
782    Mux(s0_src_select_vec(int_iss_idx) && s0_sel_src.prf_i, 0.U,
783    io.prefetch_req.bits.paddr))) // only for nc, fast_rep, prefetch
784  s0_out.tlbNoQuery    := s0_tlb_no_query
785  // s0_out.rob_idx_valid   := s0_rob_idx_valid
786  // s0_out.inner_idx       := s0_inner_idx
787  // s0_out.rob_idx         := s0_rob_idx
788  s0_out.reg_offset      := s0_sel_src.reg_offset
789  // s0_out.offset          := s0_offset
790  s0_out.vecActive             := s0_sel_src.vecActive
791  s0_out.usSecondInv    := s0_sel_src.usSecondInv
792  s0_out.is_first_ele   := s0_sel_src.is_first_ele
793  s0_out.elemIdx        := s0_sel_src.elemIdx
794  s0_out.elemIdxInsideVd := s0_sel_src.elemIdxInsideVd
795  s0_out.alignedType    := s0_sel_src.alignedType
796  s0_out.mbIndex        := s0_sel_src.mbIndex
797  s0_out.vecBaseVaddr   := s0_sel_src.vecBaseVaddr
798  // s0_out.flowPtr         := s0_sel_src.flowPtr
799  s0_out.uop.exceptionVec(loadAddrMisaligned) := (!s0_addr_aligned || s0_sel_src.uop.exceptionVec(loadAddrMisaligned)) && s0_sel_src.vecActive && !s0_misalignWith16Byte
800  s0_out.isMisalign := (!s0_addr_aligned || s0_sel_src.uop.exceptionVec(loadAddrMisaligned)) && s0_sel_src.vecActive
801  s0_out.forward_tlDchannel := s0_src_select_vec(super_rep_idx)
802  when(io.tlb.req.valid && s0_sel_src.isFirstIssue) {
803    s0_out.uop.debugInfo.tlbFirstReqTime := GTimer()
804  }.otherwise{
805    s0_out.uop.debugInfo.tlbFirstReqTime := s0_sel_src.uop.debugInfo.tlbFirstReqTime
806  }
807  s0_out.schedIndex     := s0_sel_src.sched_idx
808  //for Svpbmt Nc
809  s0_out.nc := s0_sel_src.isnc
810  s0_out.data := s0_sel_src.data
811  s0_out.misalignWith16Byte    := s0_misalignWith16Byte
812  s0_out.misalignNeedWakeUp := s0_misalignNeedWakeUp
813  s0_out.isFinalSplit := s0_finalSplit
814
815  // load fast replay
816  io.fast_rep_in.ready := (s0_can_go && io.dcache.req.ready && s0_src_ready_vec(fast_rep_idx))
817
818  // mmio
819  io.lsq.uncache.ready := s0_mmio_fire
820  io.lsq.nc_ldin.ready := s0_src_ready_vec(nc_idx) && s0_can_go
821
822  // load flow source ready
823  // cache missed load has highest priority
824  // always accept cache missed load flow from load replay queue
825  io.replay.ready := (s0_can_go && io.dcache.req.ready && (s0_src_ready_vec(lsq_rep_idx) && !s0_rep_stall || s0_src_select_vec(super_rep_idx)))
826
827  // accept load flow from rs when:
828  // 1) there is no lsq-replayed load
829  // 2) there is no fast replayed load
830  // 3) there is no high confidence prefetch request
831  io.vecldin.ready := s0_can_go && io.dcache.req.ready && s0_src_ready_vec(vec_iss_idx)
832  io.ldin.ready := s0_can_go && io.dcache.req.ready && s0_src_ready_vec(int_iss_idx)
833  io.misalign_ldin.ready := s0_can_go && io.dcache.req.ready && s0_src_ready_vec(mab_idx)
834
835  // for hw prefetch load flow feedback, to be added later
836  // io.prefetch_in.ready := s0_hw_prf_select
837
838  // dcache replacement extra info
839  // TODO: should prefetch load update replacement?
840  io.dcache.replacementUpdated := Mux(s0_src_select_vec(lsq_rep_idx) || s0_src_select_vec(super_rep_idx), io.replay.bits.replacementUpdated, false.B)
841
842  // load wakeup
843  // TODO: vector load wakeup? frm_mabuf wakeup?
844  val s0_wakeup_selector = Seq(
845    s0_misalign_wakeup_fire,
846    s0_src_valid_vec(super_rep_idx),
847    s0_src_valid_vec(fast_rep_idx),
848    s0_mmio_fire,
849    s0_nc_fire,
850    s0_src_valid_vec(lsq_rep_idx),
851    s0_src_valid_vec(int_iss_idx)
852  )
853  val s0_wakeup_format = Seq(
854    io.misalign_ldin.bits.uop,
855    io.replay.bits.uop,
856    io.fast_rep_in.bits.uop,
857    io.lsq.uncache.bits.uop,
858    io.lsq.nc_ldin.bits.uop,
859    io.replay.bits.uop,
860    io.ldin.bits.uop,
861  )
862  val s0_wakeup_uop = ParallelPriorityMux(s0_wakeup_selector, s0_wakeup_format)
863  io.wakeup.valid := s0_fire && !s0_sel_src.isvec && !s0_sel_src.frm_mabuf && (
864    s0_src_valid_vec(super_rep_idx) ||
865    s0_src_valid_vec(fast_rep_idx) ||
866    s0_src_valid_vec(lsq_rep_idx) ||
867    (s0_src_valid_vec(int_iss_idx) && !s0_sel_src.prf &&
868    !s0_src_valid_vec(vec_iss_idx) && !s0_src_valid_vec(high_pf_idx))
869  ) || s0_mmio_fire || s0_nc_fire || s0_misalign_wakeup_fire
870  io.wakeup.bits := s0_wakeup_uop
871
872  // prefetch.i(Zicbop)
873  io.ifetchPrefetch.valid := RegNext(s0_src_select_vec(int_iss_idx) && s0_sel_src.prf_i)
874  io.ifetchPrefetch.bits.vaddr := RegEnable(s0_out.vaddr, 0.U, s0_src_select_vec(int_iss_idx) && s0_sel_src.prf_i)
875
876  XSDebug(io.dcache.req.fire,
877    p"[DCACHE LOAD REQ] pc ${Hexadecimal(s0_sel_src.uop.pc)}, vaddr ${Hexadecimal(s0_dcache_vaddr)}\n"
878  )
879  XSDebug(s0_valid,
880    p"S0: pc ${Hexadecimal(s0_out.uop.pc)}, lId ${Hexadecimal(s0_out.uop.lqIdx.asUInt)}, " +
881    p"vaddr ${Hexadecimal(s0_out.vaddr)}, mask ${Hexadecimal(s0_out.mask)}\n")
882
883  // Pipeline
884  // --------------------------------------------------------------------------------
885  // stage 1
886  // --------------------------------------------------------------------------------
887  // TLB resp (send paddr to dcache)
888  val s1_valid      = RegInit(false.B)
889  val s1_in         = Wire(new LqWriteBundle)
890  val s1_out        = Wire(new LqWriteBundle)
891  val s1_kill       = Wire(Bool())
892  val s1_can_go     = s2_ready
893  val s1_fire       = s1_valid && !s1_kill && s1_can_go
894  val s1_vecActive        = RegEnable(s0_out.vecActive, true.B, s0_fire)
895  val s1_nc_with_data = RegNext(s0_nc_with_data)
896
897  s1_ready := !s1_valid || s1_kill || s2_ready
898  when (s0_fire) { s1_valid := true.B }
899  .elsewhen (s1_fire) { s1_valid := false.B }
900  .elsewhen (s1_kill) { s1_valid := false.B }
901  s1_in   := RegEnable(s0_out, s0_fire)
902
903  val s1_fast_rep_dly_kill = RegEnable(io.fast_rep_in.bits.lateKill, io.fast_rep_in.valid) && s1_in.isFastReplay
904  val s1_fast_rep_dly_err =  RegEnable(io.fast_rep_in.bits.delayedLoadError, io.fast_rep_in.valid) && s1_in.isFastReplay
905  val s1_l2l_fwd_dly_err  = RegEnable(io.l2l_fwd_in.dly_ld_err, io.l2l_fwd_in.valid) && s1_in.isFastPath
906  val s1_dly_err          = s1_fast_rep_dly_err || s1_l2l_fwd_dly_err
907  val s1_vaddr_hi         = Wire(UInt())
908  val s1_vaddr_lo         = Wire(UInt())
909  val s1_vaddr            = Wire(UInt())
910  val s1_paddr_dup_lsu    = Wire(UInt())
911  val s1_gpaddr_dup_lsu   = Wire(UInt())
912  val s1_paddr_dup_dcache = Wire(UInt())
913  val s1_exception        = ExceptionNO.selectByFu(s1_out.uop.exceptionVec, LduCfg).asUInt.orR   // af & pf exception were modified below.
914  val s1_tlb_miss         = io.tlb.resp.bits.miss && io.tlb.resp.valid && s1_valid
915  val s1_tlb_fast_miss    = io.tlb.resp.bits.fastMiss && io.tlb.resp.valid && s1_valid
916  val s1_pbmt             = Mux(!s1_tlb_miss, io.tlb.resp.bits.pbmt.head, 0.U(Pbmt.width.W))
917  val s1_nc               = s1_in.nc
918  val s1_prf              = s1_in.isPrefetch
919  val s1_hw_prf           = s1_in.isHWPrefetch
920  val s1_sw_prf           = s1_prf && !s1_hw_prf
921  val s1_tlb_memidx       = io.tlb.resp.bits.memidx
922
923  s1_vaddr_hi         := s1_in.vaddr(VAddrBits - 1, 6)
924  s1_vaddr_lo         := s1_in.vaddr(5, 0)
925  s1_vaddr            := Cat(s1_vaddr_hi, s1_vaddr_lo)
926  s1_paddr_dup_lsu    := Mux(s1_in.tlbNoQuery, s1_in.paddr, io.tlb.resp.bits.paddr(0))
927  s1_paddr_dup_dcache := Mux(s1_in.tlbNoQuery, s1_in.paddr, io.tlb.resp.bits.paddr(1))
928  s1_gpaddr_dup_lsu   := Mux(s1_in.isFastReplay, s1_in.paddr, io.tlb.resp.bits.gpaddr(0))
929
930  when (s1_tlb_memidx.is_ld && io.tlb.resp.valid && !s1_tlb_miss && s1_tlb_memidx.idx === s1_in.uop.lqIdx.value) {
931    // printf("load idx = %d\n", s1_tlb_memidx.idx)
932    s1_out.uop.debugInfo.tlbRespTime := GTimer()
933  }
934
935  io.tlb.req_kill   := s1_kill || s1_dly_err
936  io.tlb.req.bits.pmp_addr := s1_in.paddr
937  io.tlb.resp.ready := true.B
938
939  io.dcache.s1_paddr_dup_lsu    <> s1_paddr_dup_lsu
940  io.dcache.s1_paddr_dup_dcache <> s1_paddr_dup_dcache
941  io.dcache.s1_kill             := s1_kill || s1_dly_err || s1_tlb_miss || s1_exception
942  io.dcache.s1_kill_data_read   := s1_kill || s1_dly_err || s1_tlb_fast_miss
943
944  // store to load forwarding
945  io.sbuffer.valid := s1_valid && !(s1_exception || s1_tlb_miss || s1_kill || s1_dly_err || s1_prf)
946  io.sbuffer.vaddr := s1_vaddr
947  io.sbuffer.paddr := s1_paddr_dup_lsu
948  io.sbuffer.uop   := s1_in.uop
949  io.sbuffer.sqIdx := s1_in.uop.sqIdx
950  io.sbuffer.mask  := s1_in.mask
951  io.sbuffer.pc    := s1_in.uop.pc // FIXME: remove it
952
953  io.ubuffer.valid := s1_valid && s1_nc_with_data && !(s1_exception || s1_tlb_miss || s1_kill || s1_dly_err || s1_prf)
954  io.ubuffer.vaddr := s1_vaddr
955  io.ubuffer.paddr := s1_paddr_dup_lsu
956  io.ubuffer.uop   := s1_in.uop
957  io.ubuffer.sqIdx := s1_in.uop.sqIdx
958  io.ubuffer.mask  := s1_in.mask
959  io.ubuffer.pc    := s1_in.uop.pc // FIXME: remove it
960
961  io.lsq.forward.valid     := s1_valid && !(s1_exception || s1_tlb_miss || s1_kill || s1_dly_err || s1_prf)
962  io.lsq.forward.vaddr     := s1_vaddr
963  io.lsq.forward.paddr     := s1_paddr_dup_lsu
964  io.lsq.forward.uop       := s1_in.uop
965  io.lsq.forward.sqIdx     := s1_in.uop.sqIdx
966  io.lsq.forward.sqIdxMask := 0.U
967  io.lsq.forward.mask      := s1_in.mask
968  io.lsq.forward.pc        := s1_in.uop.pc // FIXME: remove it
969
970  // st-ld violation query
971    // if store unit is 128-bits memory access, need match 128-bit
972  private val s1_isMatch128 = io.stld_nuke_query.map(x => (x.bits.matchLine || ((s1_in.isvec || s1_in.misalignWith16Byte) && s1_in.is128bit)))
973  val s1_nuke_paddr_match = VecInit((0 until StorePipelineWidth).zip(s1_isMatch128).map{case (w, s) => {Mux(s,
974    s1_paddr_dup_lsu(PAddrBits-1, 4) === io.stld_nuke_query(w).bits.paddr(PAddrBits-1, 4),
975    s1_paddr_dup_lsu(PAddrBits-1, 3) === io.stld_nuke_query(w).bits.paddr(PAddrBits-1, 3))}})
976  val s1_nuke = VecInit((0 until StorePipelineWidth).map(w => {
977                       io.stld_nuke_query(w).valid && // query valid
978                       isAfter(s1_in.uop.robIdx, io.stld_nuke_query(w).bits.robIdx) && // older store
979                       s1_nuke_paddr_match(w) && // paddr match
980                       (s1_in.mask & io.stld_nuke_query(w).bits.mask).orR // data mask contain
981                      })).asUInt.orR && !s1_tlb_miss
982
983  s1_out                   := s1_in
984  s1_out.vaddr             := s1_vaddr
985  s1_out.fullva            := io.tlb.resp.bits.fullva
986  s1_out.vaNeedExt         := io.tlb.resp.bits.excp(0).vaNeedExt
987  s1_out.isHyper           := io.tlb.resp.bits.excp(0).isHyper
988  s1_out.paddr             := s1_paddr_dup_lsu
989  s1_out.gpaddr            := s1_gpaddr_dup_lsu
990  s1_out.isForVSnonLeafPTE := io.tlb.resp.bits.isForVSnonLeafPTE
991  s1_out.tlbMiss           := s1_tlb_miss
992  s1_out.ptwBack           := io.tlb.resp.bits.ptwBack
993  s1_out.rep_info.debug    := s1_in.uop.debugInfo
994  s1_out.rep_info.nuke     := s1_nuke && !s1_sw_prf
995  s1_out.delayedLoadError  := s1_dly_err
996  s1_out.nc := s1_nc || Pbmt.isNC(s1_pbmt)
997  s1_out.mmio := Pbmt.isIO(s1_pbmt)
998
999  when (!s1_dly_err) {
1000    // current ori test will cause the case of ldest == 0, below will be modifeid in the future.
1001    // af & pf exception were modified
1002    // if is tlbNoQuery request, don't trigger exception from tlb resp
1003    s1_out.uop.exceptionVec(loadPageFault)   := io.tlb.resp.bits.excp(0).pf.ld && s1_vecActive && !s1_tlb_miss && !s1_in.tlbNoQuery
1004    s1_out.uop.exceptionVec(loadGuestPageFault)   := io.tlb.resp.bits.excp(0).gpf.ld && !s1_tlb_miss && !s1_in.tlbNoQuery
1005    s1_out.uop.exceptionVec(loadAccessFault) := io.tlb.resp.bits.excp(0).af.ld && s1_vecActive && !s1_tlb_miss && !s1_in.tlbNoQuery
1006    when (RegNext(io.tlb.req.bits.checkfullva) &&
1007      (s1_out.uop.exceptionVec(loadPageFault) ||
1008        s1_out.uop.exceptionVec(loadGuestPageFault) ||
1009        s1_out.uop.exceptionVec(loadAccessFault))) {
1010      s1_out.uop.exceptionVec(loadAddrMisaligned) := false.B
1011      s1_out.isMisalign := false.B
1012    }
1013  } .otherwise {
1014    s1_out.uop.exceptionVec(loadPageFault)      := false.B
1015    s1_out.uop.exceptionVec(loadGuestPageFault) := false.B
1016    s1_out.uop.exceptionVec(loadAddrMisaligned) := false.B
1017    s1_out.isMisalign := false.B
1018    s1_out.uop.exceptionVec(loadAccessFault)    := s1_dly_err && s1_vecActive
1019  }
1020
1021  // pointer chasing
1022  val s1_try_ptr_chasing       = GatedValidRegNext(s0_do_try_ptr_chasing, false.B)
1023  val s1_ptr_chasing_vaddr     = RegEnable(s0_ptr_chasing_vaddr, s0_do_try_ptr_chasing)
1024  val s1_fu_op_type_not_ld     = WireInit(false.B)
1025  val s1_not_fast_match        = WireInit(false.B)
1026  val s1_addr_mismatch         = WireInit(false.B)
1027  val s1_addr_misaligned       = WireInit(false.B)
1028  val s1_fast_mismatch         = WireInit(false.B)
1029  val s1_ptr_chasing_canceled  = WireInit(false.B)
1030  val s1_cancel_ptr_chasing    = WireInit(false.B)
1031
1032  val s1_redirect_reg = Wire(Valid(new Redirect))
1033  s1_redirect_reg.bits := RegEnable(io.redirect.bits, io.redirect.valid)
1034  s1_redirect_reg.valid := GatedValidRegNext(io.redirect.valid)
1035
1036  s1_kill := s1_fast_rep_dly_kill ||
1037    s1_cancel_ptr_chasing ||
1038    s1_in.uop.robIdx.needFlush(io.redirect) ||
1039    (s1_in.uop.robIdx.needFlush(s1_redirect_reg) && !GatedValidRegNext(s0_try_ptr_chasing)) ||
1040    RegEnable(s0_kill, false.B, io.ldin.valid ||
1041      io.vecldin.valid || io.replay.valid ||
1042      io.l2l_fwd_in.valid || io.fast_rep_in.valid ||
1043      io.misalign_ldin.valid || io.lsq.nc_ldin.valid
1044    )
1045
1046  if (EnableLoadToLoadForward) {
1047    // Sometimes, we need to cancel the load-load forwarding.
1048    // These can be put at S0 if timing is bad at S1.
1049    // Case 0: CACHE_SET(base + offset) != CACHE_SET(base) (lowest 6-bit addition has an overflow)
1050    s1_addr_mismatch     := s1_ptr_chasing_vaddr(6) ||
1051                             RegEnable(io.ld_fast_imm(11, 6).orR, s0_do_try_ptr_chasing)
1052    // Case 1: the address is not 64-bit aligned or the fuOpType is not LD
1053    s1_addr_misaligned := s1_ptr_chasing_vaddr(2, 0).orR
1054    s1_fu_op_type_not_ld := io.ldin.bits.uop.fuOpType =/= LSUOpType.ld
1055    // Case 2: this load-load uop is cancelled
1056    s1_ptr_chasing_canceled := !io.ldin.valid
1057    // Case 3: fast mismatch
1058    s1_fast_mismatch := RegEnable(!io.ld_fast_match, s0_do_try_ptr_chasing)
1059
1060    when (s1_try_ptr_chasing) {
1061      s1_cancel_ptr_chasing := s1_addr_mismatch ||
1062                               s1_addr_misaligned ||
1063                               s1_fu_op_type_not_ld ||
1064                               s1_ptr_chasing_canceled ||
1065                               s1_fast_mismatch
1066
1067      s1_in.uop           := io.ldin.bits.uop
1068      s1_in.isFirstIssue  := io.ldin.bits.isFirstIssue
1069      s1_vaddr_lo         := s1_ptr_chasing_vaddr(5, 0)
1070      s1_paddr_dup_lsu    := Cat(io.tlb.resp.bits.paddr(0)(PAddrBits - 1, 6), s1_vaddr_lo)
1071      s1_paddr_dup_dcache := Cat(io.tlb.resp.bits.paddr(0)(PAddrBits - 1, 6), s1_vaddr_lo)
1072
1073      // recored tlb time when get the data to ensure the correctness of the latency calculation (although it should not record in here, because it does not use tlb)
1074      s1_in.uop.debugInfo.tlbFirstReqTime := GTimer()
1075      s1_in.uop.debugInfo.tlbRespTime     := GTimer()
1076    }
1077    when (!s1_cancel_ptr_chasing) {
1078      s0_ptr_chasing_canceled := s1_try_ptr_chasing &&
1079        !io.replay.fire && !io.fast_rep_in.fire &&
1080        !(s0_src_valid_vec(high_pf_idx) && io.canAcceptHighConfPrefetch) &&
1081        !io.misalign_ldin.fire &&
1082        !io.lsq.nc_ldin.valid
1083      when (s1_try_ptr_chasing) {
1084        io.ldin.ready := true.B
1085      }
1086    }
1087  }
1088
1089  // pre-calcuate sqIdx mask in s0, then send it to lsq in s1 for forwarding
1090  val s1_sqIdx_mask = RegEnable(UIntToMask(s0_out.uop.sqIdx.value, StoreQueueSize), s0_fire)
1091  // to enable load-load, sqIdxMask must be calculated based on ldin.uop
1092  // If the timing here is not OK, load-load forwarding has to be disabled.
1093  // Or we calculate sqIdxMask at RS??
1094  io.lsq.forward.sqIdxMask := s1_sqIdx_mask
1095  if (EnableLoadToLoadForward) {
1096    when (s1_try_ptr_chasing) {
1097      io.lsq.forward.sqIdxMask := UIntToMask(io.ldin.bits.uop.sqIdx.value, StoreQueueSize)
1098    }
1099  }
1100
1101  io.forward_mshr.valid  := s1_valid && s1_out.forward_tlDchannel
1102  io.forward_mshr.mshrid := s1_out.mshrid
1103  io.forward_mshr.paddr  := s1_out.paddr
1104
1105  val loadTrigger = Module(new MemTrigger(MemType.LOAD))
1106  loadTrigger.io.fromCsrTrigger.tdataVec             := io.fromCsrTrigger.tdataVec
1107  loadTrigger.io.fromCsrTrigger.tEnableVec           := io.fromCsrTrigger.tEnableVec
1108  loadTrigger.io.fromCsrTrigger.triggerCanRaiseBpExp := io.fromCsrTrigger.triggerCanRaiseBpExp
1109  loadTrigger.io.fromCsrTrigger.debugMode            := io.fromCsrTrigger.debugMode
1110  loadTrigger.io.fromLoadStore.vaddr                 := s1_vaddr
1111  loadTrigger.io.fromLoadStore.isVectorUnitStride    := s1_in.isvec && s1_in.is128bit
1112  loadTrigger.io.fromLoadStore.mask                  := s1_in.mask
1113
1114  val s1_trigger_action = loadTrigger.io.toLoadStore.triggerAction
1115  val s1_trigger_debug_mode = TriggerAction.isDmode(s1_trigger_action)
1116  val s1_trigger_breakpoint = TriggerAction.isExp(s1_trigger_action)
1117  s1_out.uop.trigger                  := s1_trigger_action
1118  s1_out.uop.exceptionVec(breakPoint) := s1_trigger_breakpoint
1119  s1_out.vecVaddrOffset := Mux(
1120    s1_trigger_debug_mode || s1_trigger_breakpoint,
1121    loadTrigger.io.toLoadStore.triggerVaddr - s1_in.vecBaseVaddr,
1122    s1_in.vaddr + genVFirstUnmask(s1_in.mask).asUInt - s1_in.vecBaseVaddr
1123  )
1124  s1_out.vecTriggerMask := Mux(s1_trigger_debug_mode || s1_trigger_breakpoint, loadTrigger.io.toLoadStore.triggerMask, 0.U)
1125
1126  XSDebug(s1_valid,
1127    p"S1: pc ${Hexadecimal(s1_out.uop.pc)}, lId ${Hexadecimal(s1_out.uop.lqIdx.asUInt)}, tlb_miss ${io.tlb.resp.bits.miss}, " +
1128    p"paddr ${Hexadecimal(s1_out.paddr)}, mmio ${s1_out.mmio}\n")
1129
1130  // Pipeline
1131  // --------------------------------------------------------------------------------
1132  // stage 2
1133  // --------------------------------------------------------------------------------
1134  // s2: DCache resp
1135  val s2_valid  = RegInit(false.B)
1136  val s2_in     = Wire(new LqWriteBundle)
1137  val s2_out    = Wire(new LqWriteBundle)
1138  val s2_kill   = Wire(Bool())
1139  val s2_can_go = s3_ready
1140  val s2_fire   = s2_valid && !s2_kill && s2_can_go
1141  val s2_vecActive = RegEnable(s1_out.vecActive, true.B, s1_fire)
1142  val s2_isvec  = RegEnable(s1_out.isvec, false.B, s1_fire)
1143  val s2_data_select  = genRdataOH(s2_out.uop)
1144  val s2_data_select_by_offset = genDataSelectByOffset(s2_out.paddr(2, 0))
1145  val s2_frm_mabuf = s2_in.isFrmMisAlignBuf
1146  val s2_pbmt = RegEnable(s1_pbmt, s1_fire)
1147  val s2_trigger_debug_mode = RegEnable(s1_trigger_debug_mode, false.B, s1_fire)
1148  val s2_nc_with_data = RegNext(s1_nc_with_data)
1149
1150  s2_kill := s2_in.uop.robIdx.needFlush(io.redirect)
1151  s2_ready := !s2_valid || s2_kill || s3_ready
1152  when (s1_fire) { s2_valid := true.B }
1153  .elsewhen (s2_fire) { s2_valid := false.B }
1154  .elsewhen (s2_kill) { s2_valid := false.B }
1155  s2_in := RegEnable(s1_out, s1_fire)
1156
1157  val s2_pmp = WireInit(io.pmp)
1158
1159  val s2_prf    = s2_in.isPrefetch
1160  val s2_hw_prf = s2_in.isHWPrefetch
1161  val s2_check_mmio = !s2_prf && !s2_in.tlbMiss && Mux(Pbmt.isUncache(s2_pbmt), s2_in.mmio, s2_pmp.mmio)
1162  // exception that may cause load addr to be invalid / illegal
1163  // if such exception happen, that inst and its exception info
1164  // will be force writebacked to rob
1165  val s2_exception_vec = WireInit(s2_in.uop.exceptionVec)
1166  val s2_actually_uncache = Pbmt.isPMA(s2_pbmt) && s2_pmp.mmio || s2_in.nc || s2_in.mmio
1167  when (!s2_in.delayedLoadError) {
1168    s2_exception_vec(loadAccessFault) := s2_vecActive && (
1169      s2_in.uop.exceptionVec(loadAccessFault) ||
1170      s2_pmp.ld ||
1171      (s2_isvec || s2_frm_mabuf) && s2_actually_uncache && !s2_prf && !s2_in.tlbMiss ||
1172      io.dcache.resp.bits.tag_error && GatedValidRegNext(io.csrCtrl.cache_error_enable)
1173    )
1174  }
1175
1176  // soft prefetch will not trigger any exception (but ecc error interrupt may
1177  // be triggered)
1178  val s2_tlb_unrelated_exceps = s2_in.uop.exceptionVec(loadAddrMisaligned) ||
1179                                s2_in.uop.exceptionVec(breakPoint)
1180  when (!s2_in.delayedLoadError && (s2_prf || s2_in.tlbMiss && !s2_tlb_unrelated_exceps)) {
1181    s2_exception_vec := 0.U.asTypeOf(s2_exception_vec.cloneType)
1182    s2_out.isMisalign := false.B
1183  }
1184  val s2_exception = s2_vecActive &&
1185                    (s2_trigger_debug_mode || ExceptionNO.selectByFu(s2_exception_vec, LduCfg).asUInt.orR)
1186  val s2_mis_align = s2_valid && GatedValidRegNext(io.csrCtrl.hd_misalign_ld_enable) &&
1187                     s2_out.isMisalign && !s2_in.misalignWith16Byte && !s2_exception_vec(breakPoint) && !s2_trigger_debug_mode && !s2_check_mmio
1188  val (s2_fwd_frm_d_chan, s2_fwd_data_frm_d_chan) = io.tl_d_channel.forward(s1_valid && s1_out.forward_tlDchannel, s1_out.mshrid, s1_out.paddr)
1189  val (s2_fwd_data_valid, s2_fwd_frm_mshr, s2_fwd_data_frm_mshr) = io.forward_mshr.forward()
1190  val s2_fwd_frm_d_chan_or_mshr = s2_fwd_data_valid && (s2_fwd_frm_d_chan || s2_fwd_frm_mshr)
1191
1192  // writeback access fault caused by ecc error / bus error
1193  // * ecc data error is slow to generate, so we will not use it until load stage 3
1194  // * in load stage 3, an extra signal io.load_error will be used to
1195  // * if pbmt =/= 0, mmio is up to pbmt; otherwise, it's up to pmp
1196  val s2_mmio = !s2_prf &&
1197    !s2_exception && !s2_in.tlbMiss &&
1198    Mux(Pbmt.isUncache(s2_pbmt), s2_in.mmio, s2_pmp.mmio)
1199  val s2_uncache = !s2_prf && !s2_exception && !s2_in.tlbMiss && s2_actually_uncache
1200
1201  val s2_full_fwd      = Wire(Bool())
1202  val s2_mem_amb       = s2_in.uop.storeSetHit &&
1203                         io.lsq.forward.addrInvalid && RegNext(io.lsq.forward.valid)
1204
1205  val s2_tlb_miss      = s2_in.tlbMiss
1206  val s2_fwd_fail      = io.lsq.forward.dataInvalid && RegNext(io.lsq.forward.valid)
1207  val s2_dcache_miss   = io.dcache.resp.bits.miss &&
1208                         !s2_fwd_frm_d_chan_or_mshr &&
1209                         !s2_full_fwd && !s2_in.nc
1210
1211  val s2_mq_nack       = io.dcache.s2_mq_nack &&
1212                         !s2_fwd_frm_d_chan_or_mshr &&
1213                         !s2_full_fwd && !s2_in.nc
1214
1215  val s2_bank_conflict = io.dcache.s2_bank_conflict &&
1216                         !s2_fwd_frm_d_chan_or_mshr &&
1217                         !s2_full_fwd && !s2_in.nc
1218
1219  val s2_wpu_pred_fail = io.dcache.s2_wpu_pred_fail &&
1220                        !s2_fwd_frm_d_chan_or_mshr &&
1221                        !s2_full_fwd && !s2_in.nc
1222
1223  val s2_rar_nack      = io.lsq.ldld_nuke_query.req.valid &&
1224                         !io.lsq.ldld_nuke_query.req.ready
1225
1226  val s2_raw_nack      = io.lsq.stld_nuke_query.req.valid &&
1227                         !io.lsq.stld_nuke_query.req.ready
1228  // st-ld violation query
1229  //  NeedFastRecovery Valid when
1230  //  1. Fast recovery query request Valid.
1231  //  2. Load instruction is younger than requestors(store instructions).
1232  //  3. Physical address match.
1233  //  4. Data contains.
1234  private val s2_isMatch128 = io.stld_nuke_query.map(x => (x.bits.matchLine || ((s2_in.isvec || s2_in.misalignWith16Byte) && s2_in.is128bit)))
1235  val s2_nuke_paddr_match = VecInit((0 until StorePipelineWidth).zip(s2_isMatch128).map{case (w, s) => {Mux(s,
1236    s2_in.paddr(PAddrBits-1, 4) === io.stld_nuke_query(w).bits.paddr(PAddrBits-1, 4),
1237    s2_in.paddr(PAddrBits-1, 3) === io.stld_nuke_query(w).bits.paddr(PAddrBits-1, 3))}})
1238  val s2_nuke          = VecInit((0 until StorePipelineWidth).map(w => {
1239                          io.stld_nuke_query(w).valid && // query valid
1240                          isAfter(s2_in.uop.robIdx, io.stld_nuke_query(w).bits.robIdx) && // older store
1241                          s2_nuke_paddr_match(w) && // paddr match
1242                          (s2_in.mask & io.stld_nuke_query(w).bits.mask).orR // data mask contain
1243                        })).asUInt.orR && !s2_tlb_miss || s2_in.rep_info.nuke
1244
1245  val s2_cache_handled   = io.dcache.resp.bits.handled
1246
1247  //if it is NC with data, it should handle the replayed situation.
1248  //else s2_uncache will enter uncache buffer.
1249  val s2_troublem        = !s2_exception &&
1250                           (!s2_uncache || s2_nc_with_data) &&
1251                           !s2_prf &&
1252                           !s2_in.delayedLoadError
1253
1254  io.dcache.resp.ready  := true.B
1255  val s2_dcache_should_resp = !(s2_in.tlbMiss || s2_exception || s2_in.delayedLoadError || s2_uncache || s2_prf)
1256  assert(!(s2_valid && (s2_dcache_should_resp && !io.dcache.resp.valid)), "DCache response got lost")
1257
1258  // fast replay require
1259  val s2_dcache_fast_rep = (s2_mq_nack || !s2_dcache_miss && (s2_bank_conflict || s2_wpu_pred_fail))
1260  val s2_nuke_fast_rep   = !s2_mq_nack &&
1261                           !s2_dcache_miss &&
1262                           !s2_bank_conflict &&
1263                           !s2_wpu_pred_fail &&
1264                           !s2_rar_nack &&
1265                           !s2_raw_nack &&
1266                           s2_nuke
1267
1268  val s2_fast_rep = !s2_mem_amb &&
1269                    !s2_tlb_miss &&
1270                    !s2_fwd_fail &&
1271                    (s2_dcache_fast_rep || s2_nuke_fast_rep) &&
1272                    s2_troublem &&
1273                    !s2_in.misalignNeedWakeUp
1274
1275  // need allocate new entry
1276  val s2_can_query = !s2_mem_amb &&
1277                     !s2_tlb_miss &&
1278                     !s2_fwd_fail &&
1279                     !s2_frm_mabuf &&
1280                     s2_troublem
1281
1282  val s2_data_fwded = s2_dcache_miss && s2_full_fwd
1283
1284  // For misaligned, we will keep the misaligned exception at S2 and before.
1285  // Here a judgement is made as to whether a misaligned exception needs to actually be generated.
1286  // We will generate misaligned exceptions at mmio.
1287  val s2_real_exceptionVec = WireInit(s2_exception_vec)
1288  s2_real_exceptionVec(loadAddrMisaligned) := s2_out.isMisalign && s2_check_mmio
1289  val s2_real_exception = s2_vecActive &&
1290    (s2_trigger_debug_mode || ExceptionNO.selectByFu(s2_real_exceptionVec, LduCfg).asUInt.orR)
1291
1292  val s2_fwd_vp_match_invalid = io.lsq.forward.matchInvalid || io.sbuffer.matchInvalid || io.ubuffer.matchInvalid
1293  val s2_vp_match_fail = s2_fwd_vp_match_invalid && s2_troublem
1294  val s2_safe_wakeup = !s2_out.rep_info.need_rep && !s2_mmio && (!s2_in.nc || s2_nc_with_data) && !s2_mis_align && !s2_real_exception || s2_in.misalignNeedWakeUp // don't need to replay and is not a mmio\misalign no data
1295  val s2_safe_writeback = s2_real_exception || s2_safe_wakeup || s2_vp_match_fail || s2_in.misalignNeedWakeUp
1296
1297  // ld-ld violation require
1298  io.lsq.ldld_nuke_query.req.valid           := s2_valid && s2_can_query
1299  io.lsq.ldld_nuke_query.req.bits.uop        := s2_in.uop
1300  io.lsq.ldld_nuke_query.req.bits.mask       := s2_in.mask
1301  io.lsq.ldld_nuke_query.req.bits.paddr      := s2_in.paddr
1302  io.lsq.ldld_nuke_query.req.bits.data_valid := Mux(s2_full_fwd || s2_fwd_data_valid || s2_nc_with_data, true.B, !s2_dcache_miss)
1303  io.lsq.ldld_nuke_query.req.bits.is_nc := s2_nc_with_data
1304
1305  // st-ld violation require
1306  io.lsq.stld_nuke_query.req.valid           := s2_valid && s2_can_query
1307  io.lsq.stld_nuke_query.req.bits.uop        := s2_in.uop
1308  io.lsq.stld_nuke_query.req.bits.mask       := s2_in.mask
1309  io.lsq.stld_nuke_query.req.bits.paddr      := s2_in.paddr
1310  io.lsq.stld_nuke_query.req.bits.data_valid := Mux(s2_full_fwd || s2_fwd_data_valid || s2_nc_with_data, true.B, !s2_dcache_miss)
1311  io.lsq.stld_nuke_query.req.bits.is_nc := s2_nc_with_data
1312
1313  // merge forward result
1314  // lsq has higher priority than sbuffer
1315  val s2_fwd_mask = Wire(Vec((VLEN/8), Bool()))
1316  val s2_fwd_data = Wire(Vec((VLEN/8), UInt(8.W)))
1317  s2_full_fwd := ((~s2_fwd_mask.asUInt).asUInt & s2_in.mask) === 0.U && !io.lsq.forward.dataInvalid
1318  // generate XLEN/8 Muxs
1319  for (i <- 0 until VLEN / 8) {
1320    s2_fwd_mask(i) := io.lsq.forward.forwardMask(i) || io.sbuffer.forwardMask(i) || io.ubuffer.forwardMask(i)
1321    s2_fwd_data(i) :=
1322      Mux(io.lsq.forward.forwardMask(i), io.lsq.forward.forwardData(i),
1323      Mux(s2_nc_with_data, io.ubuffer.forwardData(i),
1324      io.sbuffer.forwardData(i)))
1325  }
1326
1327  XSDebug(s2_fire, "[FWD LOAD RESP] pc %x fwd %x(%b) + %x(%b)\n",
1328    s2_in.uop.pc,
1329    io.lsq.forward.forwardData.asUInt, io.lsq.forward.forwardMask.asUInt,
1330    s2_in.forwardData.asUInt, s2_in.forwardMask.asUInt
1331  )
1332
1333  //
1334  s2_out                     := s2_in
1335  s2_out.uop.fpWen           := s2_in.uop.fpWen
1336  s2_out.nc                  := s2_in.nc
1337  s2_out.mmio                := s2_mmio
1338  s2_out.uop.flushPipe       := false.B
1339  s2_out.uop.exceptionVec    := s2_real_exceptionVec
1340  s2_out.forwardMask         := s2_fwd_mask
1341  s2_out.forwardData         := s2_fwd_data
1342  s2_out.handledByMSHR       := s2_cache_handled
1343  s2_out.miss                := s2_dcache_miss && s2_troublem
1344  s2_out.feedbacked          := io.feedback_fast.valid
1345  s2_out.uop.vpu.vstart      := Mux(s2_in.isLoadReplay || s2_in.isFastReplay, s2_in.uop.vpu.vstart, s2_in.vecVaddrOffset >> s2_in.uop.vpu.veew)
1346
1347  // Generate replay signal caused by:
1348  // * st-ld violation check
1349  // * tlb miss
1350  // * dcache replay
1351  // * forward data invalid
1352  // * dcache miss
1353  s2_out.rep_info.mem_amb         := s2_mem_amb && s2_troublem
1354  s2_out.rep_info.tlb_miss        := s2_tlb_miss && s2_troublem
1355  s2_out.rep_info.fwd_fail        := s2_fwd_fail && s2_troublem
1356  s2_out.rep_info.dcache_rep      := s2_mq_nack && s2_troublem
1357  s2_out.rep_info.dcache_miss     := s2_dcache_miss && s2_troublem
1358  s2_out.rep_info.bank_conflict   := s2_bank_conflict && s2_troublem
1359  s2_out.rep_info.wpu_fail        := s2_wpu_pred_fail && s2_troublem
1360  s2_out.rep_info.rar_nack        := s2_rar_nack && s2_troublem
1361  s2_out.rep_info.raw_nack        := s2_raw_nack && s2_troublem
1362  s2_out.rep_info.nuke            := s2_nuke && s2_troublem
1363  s2_out.rep_info.full_fwd        := s2_data_fwded
1364  s2_out.rep_info.data_inv_sq_idx := io.lsq.forward.dataInvalidSqIdx
1365  s2_out.rep_info.addr_inv_sq_idx := io.lsq.forward.addrInvalidSqIdx
1366  s2_out.rep_info.rep_carry       := io.dcache.resp.bits.replayCarry
1367  s2_out.rep_info.mshr_id         := io.dcache.resp.bits.mshr_id
1368  s2_out.rep_info.last_beat       := s2_in.paddr(log2Up(refillBytes))
1369  s2_out.rep_info.debug           := s2_in.uop.debugInfo
1370  s2_out.rep_info.tlb_id          := io.tlb_hint.id
1371  s2_out.rep_info.tlb_full        := io.tlb_hint.full
1372
1373  // if forward fail, replay this inst from fetch
1374  val debug_fwd_fail_rep = s2_fwd_fail && !s2_troublem && !s2_in.tlbMiss
1375  // if ld-ld violation is detected, replay from this inst from fetch
1376  val debug_ldld_nuke_rep = false.B // s2_ldld_violation && !s2_mmio && !s2_is_prefetch && !s2_in.tlbMiss
1377
1378  // to be removed
1379  io.feedback_fast.valid                 := false.B
1380  io.feedback_fast.bits.hit              := false.B
1381  io.feedback_fast.bits.flushState       := s2_in.ptwBack
1382  io.feedback_fast.bits.robIdx           := s2_in.uop.robIdx
1383  io.feedback_fast.bits.sqIdx            := s2_in.uop.sqIdx
1384  io.feedback_fast.bits.lqIdx            := s2_in.uop.lqIdx
1385  io.feedback_fast.bits.sourceType       := RSFeedbackType.lrqFull
1386  io.feedback_fast.bits.dataInvalidSqIdx := DontCare
1387
1388  io.ldCancel.ld1Cancel := false.B
1389
1390  // fast wakeup
1391  val s1_fast_uop_valid = WireInit(false.B)
1392  s1_fast_uop_valid :=
1393    !io.dcache.s1_disable_fast_wakeup &&
1394    s1_valid &&
1395    !s1_kill &&
1396    !io.tlb.resp.bits.miss &&
1397    !io.lsq.forward.dataInvalidFast
1398  io.fast_uop.valid := GatedValidRegNext(s1_fast_uop_valid) && (s2_valid && !s2_out.rep_info.need_rep && !s2_uncache && !(s2_prf && !s2_hw_prf)) && !s2_isvec && !s2_frm_mabuf
1399  io.fast_uop.bits := RegEnable(s1_out.uop, s1_fast_uop_valid)
1400
1401  //
1402  io.s2_ptr_chasing                    := RegEnable(s1_try_ptr_chasing && !s1_cancel_ptr_chasing, false.B, s1_fire)
1403
1404  // RegNext prefetch train for better timing
1405  // ** Now, prefetch train is valid at load s3 **
1406  val s2_prefetch_train_valid = WireInit(false.B)
1407  s2_prefetch_train_valid              := s2_valid && !s2_actually_uncache && (!s2_in.tlbMiss || s2_hw_prf)
1408  io.prefetch_train.valid              := GatedValidRegNext(s2_prefetch_train_valid)
1409  io.prefetch_train.bits.fromLsPipelineBundle(s2_in, latch = true, enable = s2_prefetch_train_valid)
1410  io.prefetch_train.bits.miss          := RegEnable(io.dcache.resp.bits.miss, s2_prefetch_train_valid) // TODO: use trace with bank conflict?
1411  io.prefetch_train.bits.meta_prefetch := RegEnable(io.dcache.resp.bits.meta_prefetch, s2_prefetch_train_valid)
1412  io.prefetch_train.bits.meta_access   := RegEnable(io.dcache.resp.bits.meta_access, s2_prefetch_train_valid)
1413  io.prefetch_train.bits.isFinalSplit      := false.B
1414  io.prefetch_train.bits.misalignWith16Byte := false.B
1415  io.prefetch_train.bits.misalignNeedWakeUp := false.B
1416  io.prefetch_train.bits.updateAddrValid := false.B
1417  io.prefetch_train.bits.isMisalign := false.B
1418  io.prefetch_train.bits.hasException := false.B
1419  io.s1_prefetch_spec := s1_fire
1420  io.s2_prefetch_spec := s2_prefetch_train_valid
1421
1422  val s2_prefetch_train_l1_valid = WireInit(false.B)
1423  s2_prefetch_train_l1_valid              := s2_valid && !s2_actually_uncache
1424  io.prefetch_train_l1.valid              := GatedValidRegNext(s2_prefetch_train_l1_valid)
1425  io.prefetch_train_l1.bits.fromLsPipelineBundle(s2_in, latch = true, enable = s2_prefetch_train_l1_valid)
1426  io.prefetch_train_l1.bits.miss          := RegEnable(io.dcache.resp.bits.miss, s2_prefetch_train_l1_valid)
1427  io.prefetch_train_l1.bits.meta_prefetch := RegEnable(io.dcache.resp.bits.meta_prefetch, s2_prefetch_train_l1_valid)
1428  io.prefetch_train_l1.bits.meta_access   := RegEnable(io.dcache.resp.bits.meta_access, s2_prefetch_train_l1_valid)
1429  io.prefetch_train_l1.bits.isFinalSplit      := false.B
1430  io.prefetch_train_l1.bits.misalignWith16Byte := false.B
1431  io.prefetch_train_l1.bits.misalignNeedWakeUp := false.B
1432  io.prefetch_train_l1.bits.updateAddrValid := false.B
1433  io.prefetch_train_l1.bits.hasException := false.B
1434  io.prefetch_train_l1.bits.isMisalign := false.B
1435  if (env.FPGAPlatform){
1436    io.dcache.s0_pc := DontCare
1437    io.dcache.s1_pc := DontCare
1438    io.dcache.s2_pc := DontCare
1439  }else{
1440    io.dcache.s0_pc := s0_out.uop.pc
1441    io.dcache.s1_pc := s1_out.uop.pc
1442    io.dcache.s2_pc := s2_out.uop.pc
1443  }
1444  io.dcache.s2_kill := s2_pmp.ld || s2_actually_uncache || s2_kill
1445
1446  val s1_ld_left_fire = s1_valid && !s1_kill && s2_ready
1447  val s2_ld_valid_dup = RegInit(0.U(6.W))
1448  s2_ld_valid_dup := 0x0.U(6.W)
1449  when (s1_ld_left_fire && !s1_out.isHWPrefetch) { s2_ld_valid_dup := 0x3f.U(6.W) }
1450  when (s1_kill || s1_out.isHWPrefetch) { s2_ld_valid_dup := 0x0.U(6.W) }
1451  assert(RegNext((s2_valid === s2_ld_valid_dup(0)) || RegNext(s1_out.isHWPrefetch)))
1452
1453  // Pipeline
1454  // --------------------------------------------------------------------------------
1455  // stage 3
1456  // --------------------------------------------------------------------------------
1457  // writeback and update load queue
1458  val s3_valid        = GatedValidRegNext(s2_valid && !s2_out.isHWPrefetch && !s2_out.uop.robIdx.needFlush(io.redirect))
1459  val s3_in           = RegEnable(s2_out, s2_fire)
1460  val s3_out          = Wire(Valid(new MemExuOutput))
1461  val s3_dcache_rep   = RegEnable(s2_dcache_fast_rep && s2_troublem, false.B, s2_fire)
1462  val s3_ld_valid_dup = RegEnable(s2_ld_valid_dup, s2_fire)
1463  val s3_fast_rep     = Wire(Bool())
1464  val s3_nc_with_data = RegNext(s2_nc_with_data)
1465  val s3_troublem     = GatedValidRegNext(s2_troublem)
1466  val s3_kill         = s3_in.uop.robIdx.needFlush(io.redirect)
1467  val s3_vecout       = Wire(new OnlyVecExuOutput)
1468  val s3_vecActive    = RegEnable(s2_out.vecActive, true.B, s2_fire)
1469  val s3_isvec        = RegEnable(s2_out.isvec, false.B, s2_fire)
1470  val s3_vec_alignedType = RegEnable(s2_out.alignedType, s2_fire)
1471  val s3_vec_mBIndex     = RegEnable(s2_out.mbIndex, s2_fire)
1472  val s3_frm_mabuf       = s3_in.isFrmMisAlignBuf
1473  val s3_mmio         = Wire(Valid(new MemExuOutput))
1474  val s3_data_select  = RegEnable(s2_data_select, 0.U(s2_data_select.getWidth.W), s2_fire)
1475  val s3_data_select_by_offset = RegEnable(s2_data_select_by_offset, 0.U.asTypeOf(s2_data_select_by_offset), s2_fire)
1476  val s3_hw_err   =
1477      if (EnableAccurateLoadError) {
1478        io.dcache.resp.bits.error_delayed && GatedValidRegNext(io.csrCtrl.cache_error_enable) && s3_troublem
1479      } else {
1480        WireInit(false.B)
1481      }
1482  val s3_safe_wakeup  = RegEnable(s2_safe_wakeup, s2_fire)
1483  val s3_safe_writeback = RegEnable(s2_safe_writeback, s2_fire) || s3_hw_err
1484  val s3_exception = RegEnable(s2_real_exception, s2_fire)
1485  val s3_mis_align = RegEnable(s2_mis_align, s2_fire)
1486  val s3_trigger_debug_mode = RegEnable(s2_trigger_debug_mode, false.B, s2_fire)
1487
1488  // TODO: Fix vector load merge buffer nack
1489  val s3_vec_mb_nack  = Wire(Bool())
1490  s3_vec_mb_nack     := false.B
1491  XSError(s3_valid && s3_vec_mb_nack, "Merge buffer should always accept vector loads!")
1492
1493  s3_ready := !s3_valid || s3_kill || io.ldout.ready
1494  s3_mmio.valid := RegNextN(io.lsq.uncache.fire, 3, Some(false.B))
1495  s3_mmio.bits  := RegNextN(io.lsq.uncache.bits, 3)
1496
1497  // forwrad last beat
1498  val s3_fast_rep_canceled = io.replay.valid && io.replay.bits.forward_tlDchannel || io.misalign_ldin.valid || !io.dcache.req.ready
1499
1500  // s3 load fast replay
1501  io.fast_rep_out.valid := s3_valid && s3_fast_rep && !s3_in.uop.robIdx.needFlush(io.redirect)
1502  io.fast_rep_out.bits := s3_in
1503
1504  val s3_can_enter_lsq_valid = s3_valid && (!s3_fast_rep || s3_fast_rep_canceled) && !s3_in.feedbacked && !s3_nc_with_data && !s3_in.misalignNeedWakeUp
1505  io.lsq.ldin.valid := s3_can_enter_lsq_valid
1506  // TODO: check this --by hx
1507  // io.lsq.ldin.valid := s3_valid && (!s3_fast_rep || !io.fast_rep_out.ready) && !s3_in.feedbacked && !s3_in.lateKill
1508  io.lsq.ldin.bits := s3_in
1509  io.lsq.ldin.bits.miss := s3_in.miss
1510
1511  // connect to misalignBuffer
1512  val toMisalignBufferValid = s3_can_enter_lsq_valid && s3_mis_align && !s3_frm_mabuf
1513  io.misalign_buf.valid := toMisalignBufferValid
1514  io.misalign_buf.bits  := s3_in
1515
1516  /* <------- DANGEROUS: Don't change sequence here ! -------> */
1517  io.lsq.ldin.bits.data_wen_dup := s3_ld_valid_dup.asBools
1518  io.lsq.ldin.bits.replacementUpdated := io.dcache.resp.bits.replacementUpdated
1519  io.lsq.ldin.bits.missDbUpdated := GatedValidRegNext(s2_fire && s2_in.hasROBEntry && !s2_in.tlbMiss && !s2_in.missDbUpdated)
1520  io.lsq.ldin.bits.updateAddrValid := !s3_mis_align && (!s3_frm_mabuf || s3_in.isFinalSplit) || s3_exception
1521  io.lsq.ldin.bits.hasException := false.B
1522
1523  io.s3_dly_ld_err := false.B // s3_dly_ld_err && s3_valid
1524  io.lsq.ldin.bits.dcacheRequireReplay  := s3_dcache_rep
1525  io.fast_rep_out.bits.delayedLoadError := s3_hw_err
1526
1527  val s3_vp_match_fail = GatedValidRegNext(s2_fwd_vp_match_invalid) && s3_troublem
1528  val s3_rep_frm_fetch = s3_vp_match_fail
1529  val s3_ldld_rep_inst =
1530      io.lsq.ldld_nuke_query.resp.valid &&
1531      io.lsq.ldld_nuke_query.resp.bits.rep_frm_fetch &&
1532      GatedValidRegNext(io.csrCtrl.ldld_vio_check_enable)
1533  val s3_flushPipe = s3_ldld_rep_inst
1534
1535  val s3_lrq_rep_info = WireInit(s3_in.rep_info)
1536  s3_lrq_rep_info.misalign_nack := toMisalignBufferValid && !io.misalign_buf.ready
1537  val s3_lrq_sel_rep_cause = PriorityEncoderOH(s3_lrq_rep_info.cause.asUInt)
1538  val s3_replayqueue_rep_cause = WireInit(0.U.asTypeOf(s3_in.rep_info.cause))
1539  s3_replayqueue_rep_cause(LoadReplayCauses.C_MF) := s3_mis_align && s3_lrq_rep_info.misalign_nack
1540
1541  val s3_mab_rep_info = WireInit(s3_in.rep_info)
1542  val s3_mab_sel_rep_cause = PriorityEncoderOH(s3_mab_rep_info.cause.asUInt)
1543  val s3_misalign_rep_cause = WireInit(0.U.asTypeOf(s3_in.rep_info.cause))
1544
1545  s3_misalign_rep_cause := Mux(
1546    s3_in.misalignNeedWakeUp,
1547    0.U.asTypeOf(s3_mab_rep_info.cause.cloneType),
1548    VecInit(s3_mab_sel_rep_cause.asBools)
1549  )
1550
1551  when (s3_exception || s3_hw_err || s3_rep_frm_fetch || s3_frm_mabuf) {
1552    s3_replayqueue_rep_cause := 0.U.asTypeOf(s3_lrq_rep_info.cause.cloneType)
1553  } .otherwise {
1554    s3_replayqueue_rep_cause := VecInit(s3_lrq_sel_rep_cause.asBools)
1555
1556  }
1557  io.lsq.ldin.bits.rep_info.cause := s3_replayqueue_rep_cause
1558
1559
1560  // Int load, if hit, will be writebacked at s3
1561  s3_out.valid                := s3_valid && s3_safe_writeback && !toMisalignBufferValid
1562  s3_out.bits.uop             := s3_in.uop
1563  s3_out.bits.uop.fpWen       := s3_in.uop.fpWen
1564  s3_out.bits.uop.exceptionVec(loadAccessFault) := s3_in.uop.exceptionVec(loadAccessFault) && s3_vecActive
1565  s3_out.bits.uop.exceptionVec(hardwareError) := s3_hw_err && s3_vecActive
1566  s3_out.bits.uop.flushPipe   := false.B
1567  s3_out.bits.uop.replayInst  := false.B
1568  s3_out.bits.data            := s3_in.data
1569  s3_out.bits.isFromLoadUnit  := true.B
1570  s3_out.bits.debug.isMMIO    := s3_in.mmio
1571  s3_out.bits.debug.isNC      := s3_in.nc
1572  s3_out.bits.debug.isPerfCnt := false.B
1573  s3_out.bits.debug.paddr     := s3_in.paddr
1574  s3_out.bits.debug.vaddr     := s3_in.vaddr
1575
1576  // Vector load, writeback to merge buffer
1577  // TODO: Add assertion in merge buffer, merge buffer must accept vec load writeback
1578  s3_vecout.isvec             := s3_isvec
1579  s3_vecout.vecdata           := 0.U // Data will be assigned later
1580  s3_vecout.mask              := s3_in.mask
1581  // s3_vecout.rob_idx_valid     := s3_in.rob_idx_valid
1582  // s3_vecout.inner_idx         := s3_in.inner_idx
1583  // s3_vecout.rob_idx           := s3_in.rob_idx
1584  // s3_vecout.offset            := s3_in.offset
1585  s3_vecout.reg_offset        := s3_in.reg_offset
1586  s3_vecout.vecActive         := s3_vecActive
1587  s3_vecout.is_first_ele      := s3_in.is_first_ele
1588  // s3_vecout.uopQueuePtr       := DontCare // uopQueuePtr is already saved in flow queue
1589  // s3_vecout.flowPtr           := s3_in.flowPtr
1590  s3_vecout.elemIdx           := s3_in.elemIdx // elemIdx is already saved in flow queue // TODO:
1591  s3_vecout.elemIdxInsideVd   := s3_in.elemIdxInsideVd
1592  s3_vecout.trigger           := s3_in.uop.trigger
1593  s3_vecout.vstart            := s3_in.uop.vpu.vstart
1594  s3_vecout.vecTriggerMask    := s3_in.vecTriggerMask
1595  val s3_usSecondInv          = s3_in.usSecondInv
1596
1597  val s3_frm_mis_flush     = s3_frm_mabuf &&
1598    (io.misalign_ldout.bits.rep_info.fwd_fail || io.misalign_ldout.bits.rep_info.mem_amb || io.misalign_ldout.bits.rep_info.nuke)
1599
1600  io.rollback.valid := s3_valid && (s3_rep_frm_fetch || s3_flushPipe || s3_frm_mis_flush) && !s3_exception
1601  io.rollback.bits             := DontCare
1602  io.rollback.bits.isRVC       := s3_out.bits.uop.preDecodeInfo.isRVC
1603  io.rollback.bits.robIdx      := s3_out.bits.uop.robIdx
1604  io.rollback.bits.ftqIdx      := s3_out.bits.uop.ftqPtr
1605  io.rollback.bits.ftqOffset   := s3_out.bits.uop.ftqOffset
1606  io.rollback.bits.level       := Mux(s3_rep_frm_fetch || s3_frm_mis_flush, RedirectLevel.flush, RedirectLevel.flushAfter)
1607  io.rollback.bits.cfiUpdate.target := s3_out.bits.uop.pc
1608  io.rollback.bits.debug_runahead_checkpoint_id := s3_out.bits.uop.debugInfo.runahead_checkpoint_id
1609  /* <------- DANGEROUS: Don't change sequence here ! -------> */
1610
1611  io.lsq.ldin.bits.uop := s3_out.bits.uop
1612//  io.lsq.ldin.bits.uop.exceptionVec(loadAddrMisaligned) := Mux(s3_in.onlyMisalignException, false.B, s3_in.uop.exceptionVec(loadAddrMisaligned))
1613
1614  val s3_revoke = s3_exception || io.lsq.ldin.bits.rep_info.need_rep || s3_mis_align
1615  io.lsq.ldld_nuke_query.revoke := s3_revoke
1616  io.lsq.stld_nuke_query.revoke := s3_revoke
1617
1618  // feedback slow
1619  s3_fast_rep := RegNext(s2_fast_rep)
1620
1621  val s3_fb_no_waiting = !s3_in.isLoadReplay &&
1622                        (!(s3_fast_rep && !s3_fast_rep_canceled)) &&
1623                        !s3_in.feedbacked
1624
1625  // feedback: scalar load will send feedback to RS
1626  //           vector load will send signal to VL Merge Buffer, then send feedback at granularity of uops
1627  io.feedback_slow.valid                 := s3_valid && s3_fb_no_waiting && !s3_isvec && !s3_frm_mabuf
1628  io.feedback_slow.bits.hit              := !s3_lrq_rep_info.need_rep || io.lsq.ldin.ready
1629  io.feedback_slow.bits.flushState       := s3_in.ptwBack
1630  io.feedback_slow.bits.robIdx           := s3_in.uop.robIdx
1631  io.feedback_slow.bits.sqIdx            := s3_in.uop.sqIdx
1632  io.feedback_slow.bits.lqIdx            := s3_in.uop.lqIdx
1633  io.feedback_slow.bits.sourceType       := RSFeedbackType.lrqFull
1634  io.feedback_slow.bits.dataInvalidSqIdx := DontCare
1635
1636  // TODO: vector wakeup?
1637  io.ldCancel.ld2Cancel := s3_valid && !s3_safe_wakeup && !s3_isvec && (!s3_frm_mabuf || s3_in.misalignNeedWakeUp)
1638
1639  val s3_ld_wb_meta = Mux(s3_valid, s3_out.bits, s3_mmio.bits)
1640
1641  // data from load queue refill
1642  val s3_ld_raw_data_frm_mmio = RegNextN(io.lsq.ld_raw_data, 3)
1643  val s3_merged_data_frm_mmio = s3_ld_raw_data_frm_mmio.mergedData()
1644  val s3_picked_data_frm_mmio = LookupTree(s3_ld_raw_data_frm_mmio.addrOffset, List(
1645    "b000".U -> s3_merged_data_frm_mmio(63,  0),
1646    "b001".U -> s3_merged_data_frm_mmio(63,  8),
1647    "b010".U -> s3_merged_data_frm_mmio(63, 16),
1648    "b011".U -> s3_merged_data_frm_mmio(63, 24),
1649    "b100".U -> s3_merged_data_frm_mmio(63, 32),
1650    "b101".U -> s3_merged_data_frm_mmio(63, 40),
1651    "b110".U -> s3_merged_data_frm_mmio(63, 48),
1652    "b111".U -> s3_merged_data_frm_mmio(63, 56)
1653  ))
1654  val s3_ld_data_frm_mmio = rdataHelper(s3_ld_raw_data_frm_mmio.uop, s3_picked_data_frm_mmio)
1655
1656  /* data from pipe, which forward from respectively
1657   *  dcache hit: [D channel, mshr, sbuffer, sq]
1658   *  nc_with_data: [sq]
1659   */
1660
1661  val s2_ld_data_frm_nc = shiftDataToHigh(s2_out.paddr, s2_out.data)
1662
1663  val s3_ld_raw_data_frm_pipe = Wire(new LoadDataFromDcacheBundle)
1664  s3_ld_raw_data_frm_pipe.respDcacheData       := Mux(s2_nc_with_data, s2_ld_data_frm_nc, io.dcache.resp.bits.data)
1665  s3_ld_raw_data_frm_pipe.forward_D            := s2_fwd_frm_d_chan && !s2_nc_with_data
1666  s3_ld_raw_data_frm_pipe.forwardData_D        := s2_fwd_data_frm_d_chan
1667  s3_ld_raw_data_frm_pipe.forward_mshr         := s2_fwd_frm_mshr && !s2_nc_with_data
1668  s3_ld_raw_data_frm_pipe.forwardData_mshr     := s2_fwd_data_frm_mshr
1669  s3_ld_raw_data_frm_pipe.forward_result_valid := s2_fwd_data_valid
1670
1671  s3_ld_raw_data_frm_pipe.forwardMask          := RegEnable(s2_fwd_mask, s2_valid)
1672  s3_ld_raw_data_frm_pipe.forwardData          := RegEnable(s2_fwd_data, s2_valid)
1673  s3_ld_raw_data_frm_pipe.uop                  := RegEnable(s2_out.uop, s2_valid)
1674  s3_ld_raw_data_frm_pipe.addrOffset           := RegEnable(s2_out.paddr(3, 0), s2_valid)
1675
1676  val s3_merged_data_frm_tlD   = RegEnable(s3_ld_raw_data_frm_pipe.mergeTLData(), s2_valid)
1677  val s3_merged_data_frm_pipe  = s3_ld_raw_data_frm_pipe.mergeLsqFwdData(s3_merged_data_frm_tlD)
1678
1679  // duplicate reg for ldout and vecldout
1680  private val LdDataDup = 3
1681  require(LdDataDup >= 2)
1682  // truncate forward data and cache data to XLEN width to writeback
1683  val s3_fwd_mask_clip = VecInit(List.fill(LdDataDup)(
1684    RegEnable(Mux(
1685      s2_out.paddr(3),
1686      (s2_fwd_mask.asUInt)(VLEN / 8 - 1, 8),
1687      (s2_fwd_mask.asUInt)(7, 0)
1688    ).asTypeOf(Vec(XLEN / 8, Bool())), s2_valid)
1689  ))
1690  val s3_fwd_data_clip = VecInit(List.fill(LdDataDup)(
1691    RegEnable(Mux(
1692      s2_out.paddr(3),
1693      (s2_fwd_data.asUInt)(VLEN - 1, 64),
1694      (s2_fwd_data.asUInt)(63, 0)
1695    ).asTypeOf(Vec(XLEN / 8, UInt(8.W))), s2_valid)
1696  ))
1697  val s3_merged_data_frm_tld_clip = VecInit(List.fill(LdDataDup)(
1698    RegEnable(Mux(
1699      s2_out.paddr(3),
1700      s3_ld_raw_data_frm_pipe.mergeTLData()(VLEN - 1, 64),
1701      s3_ld_raw_data_frm_pipe.mergeTLData()(63, 0)
1702    ).asTypeOf(Vec(XLEN / 8, UInt(8.W))), s2_valid)
1703  ))
1704  val s3_merged_data_frm_pipe_clip = VecInit((0 until LdDataDup).map(i => {
1705    VecInit((0 until XLEN / 8).map(j =>
1706      Mux(s3_fwd_mask_clip(i)(j), s3_fwd_data_clip(i)(j), s3_merged_data_frm_tld_clip(i)(j))
1707    )).asUInt
1708  }))
1709
1710  val s3_data_frm_pipe = VecInit((0 until LdDataDup).map(i => {
1711    VecInit(Seq(
1712      s3_merged_data_frm_pipe_clip(i)(63,    0),
1713      s3_merged_data_frm_pipe_clip(i)(63,    8),
1714      s3_merged_data_frm_pipe_clip(i)(63,   16),
1715      s3_merged_data_frm_pipe_clip(i)(63,   24),
1716      s3_merged_data_frm_pipe_clip(i)(63,   32),
1717      s3_merged_data_frm_pipe_clip(i)(63,   40),
1718      s3_merged_data_frm_pipe_clip(i)(63,   48),
1719      s3_merged_data_frm_pipe_clip(i)(63,   56),
1720    ))
1721  }))
1722  val s3_picked_data_frm_pipe = VecInit((0 until LdDataDup).map(i => {
1723    Mux1H(s3_data_select_by_offset, s3_data_frm_pipe(i))
1724  }))
1725  val s3_shift_data = Mux(
1726    s3_in.misalignWith16Byte,
1727    (s3_merged_data_frm_pipe >> (s3_in.vaddr(3, 0) << 3)).asUInt(63, 0),
1728    s3_picked_data_frm_pipe(0)
1729  )
1730
1731  val s3_ld_data_frm_pipe = newRdataHelper(s3_data_select, s3_shift_data)
1732
1733  // FIXME: add 1 cycle delay ?
1734  // io.lsq.uncache.ready := !s3_valid
1735  val s3_outexception = ExceptionNO.selectByFu(s3_out.bits.uop.exceptionVec, LduCfg).asUInt.orR && s3_vecActive
1736  io.ldout.bits        := s3_ld_wb_meta
1737  io.ldout.bits.data   := Mux(s3_valid, s3_ld_data_frm_pipe, s3_ld_data_frm_mmio)
1738
1739  io.ldout.valid       := (s3_mmio.valid ||
1740                          (s3_out.valid && !s3_vecout.isvec && !s3_frm_mabuf))
1741  io.ldout.bits.uop.exceptionVec := ExceptionNO.selectByFu(s3_ld_wb_meta.uop.exceptionVec, LduCfg)
1742  io.ldout.bits.isFromLoadUnit := true.B
1743  // TODO vector?
1744  io.ldout.bits.uop.rfWen := !io.ldCancel.ld2Cancel && s3_ld_wb_meta.uop.rfWen
1745  io.ldout.bits.uop.fuType := Mux(
1746                                  s3_valid && s3_isvec,
1747                                  FuType.vldu.U,
1748                                  FuType.ldu.U
1749  )
1750
1751  XSError(s3_valid && s3_in.misalignNeedWakeUp && !s3_frm_mabuf, "Only the needwakeup from the misalignbuffer may be high")
1752  // TODO: check this --hx
1753  // io.ldout.valid       := s3_out.valid && !s3_out.bits.uop.robIdx.needFlush(io.redirect) && !s3_vecout.isvec ||
1754  //   io.lsq.uncache.valid && !io.lsq.uncache.bits.uop.robIdx.needFlush(io.redirect) && !s3_out.valid && !io.lsq.uncache.bits.isVls
1755  //  io.ldout.bits.data   := Mux(s3_out.valid, s3_ld_data_frm_pipe, s3_ld_data_frm_mmio)
1756  //  io.ldout.valid       := s3_out.valid && !s3_out.bits.uop.robIdx.needFlush(io.redirect) ||
1757  //                         s3_mmio.valid && !s3_mmio.bits.uop.robIdx.needFlush(io.redirect) && !s3_out.valid
1758
1759  // s3 load fast replay
1760  io.fast_rep_out.valid := s3_valid && s3_fast_rep
1761  io.fast_rep_out.bits := s3_in
1762  io.fast_rep_out.bits.lateKill := s3_rep_frm_fetch
1763  io.fast_rep_out.bits.delayedLoadError := s3_hw_err
1764
1765  val vecFeedback = s3_valid && s3_fb_no_waiting && s3_lrq_rep_info.need_rep && !io.lsq.ldin.ready && s3_isvec
1766
1767  // vector output
1768  io.vecldout.bits.alignedType := s3_vec_alignedType
1769  // vec feedback
1770  io.vecldout.bits.vecFeedback := vecFeedback
1771  // TODO: VLSU, uncache data logic
1772  val vecdata = rdataVecHelper(s3_vec_alignedType(1,0), s3_picked_data_frm_pipe(1))
1773  val vecShiftData = (s3_merged_data_frm_pipe >> (s3_in.vaddr(3, 0) << 3)).asUInt(63, 0)
1774  io.vecldout.bits.vecdata.get := Mux(s3_in.misalignWith16Byte, vecShiftData, Mux(s3_in.is128bit, s3_merged_data_frm_pipe, vecdata))
1775  io.vecldout.bits.isvec := s3_vecout.isvec
1776  io.vecldout.bits.elemIdx := s3_vecout.elemIdx
1777  io.vecldout.bits.elemIdxInsideVd.get := s3_vecout.elemIdxInsideVd
1778  io.vecldout.bits.mask := s3_vecout.mask
1779  io.vecldout.bits.reg_offset.get := s3_vecout.reg_offset
1780  io.vecldout.bits.usSecondInv := s3_usSecondInv
1781  io.vecldout.bits.mBIndex := s3_vec_mBIndex
1782  io.vecldout.bits.hit := !s3_lrq_rep_info.need_rep || io.lsq.ldin.ready
1783  io.vecldout.bits.sourceType := RSFeedbackType.lrqFull
1784  io.vecldout.bits.trigger := s3_vecout.trigger
1785  io.vecldout.bits.flushState := DontCare
1786  io.vecldout.bits.exceptionVec := ExceptionNO.selectByFu(s3_out.bits.uop.exceptionVec, VlduCfg)
1787  io.vecldout.bits.vaddr := s3_in.fullva
1788  io.vecldout.bits.vaNeedExt := s3_in.vaNeedExt
1789  io.vecldout.bits.gpaddr := s3_in.gpaddr
1790  io.vecldout.bits.isForVSnonLeafPTE := s3_in.isForVSnonLeafPTE
1791  io.vecldout.bits.mmio := DontCare
1792  io.vecldout.bits.vstart := s3_vecout.vstart
1793  io.vecldout.bits.vecTriggerMask := s3_vecout.vecTriggerMask
1794  io.vecldout.bits.nc := DontCare
1795
1796  io.vecldout.valid := s3_out.valid && !s3_out.bits.uop.robIdx.needFlush(io.redirect) && s3_vecout.isvec && !s3_mis_align && !s3_frm_mabuf //||
1797  // TODO: check this, why !io.lsq.uncache.bits.isVls before?
1798  // Now vector instruction don't support mmio.
1799    // io.lsq.uncache.valid && !io.lsq.uncache.bits.uop.robIdx.needFlush(io.redirect) && !s3_out.valid && io.lsq.uncache.bits.isVls
1800    //io.lsq.uncache.valid && !io.lsq.uncache.bits.uop.robIdx.needFlush(io.redirect) && !s3_out.valid && !io.lsq.uncache.bits.isVls
1801
1802  io.misalign_ldout.valid     := s3_valid && (!s3_fast_rep || s3_fast_rep_canceled) && s3_frm_mabuf
1803  io.misalign_ldout.bits      := io.lsq.ldin.bits
1804  io.misalign_ldout.bits.data := Mux(s3_in.misalignWith16Byte, s3_merged_data_frm_pipe, s3_picked_data_frm_pipe(2))
1805  io.misalign_ldout.bits.rep_info.cause := s3_misalign_rep_cause
1806
1807  // fast load to load forward
1808  if (EnableLoadToLoadForward) {
1809    io.l2l_fwd_out.valid      := s3_valid && !s3_in.mmio && !s3_in.nc && !s3_lrq_rep_info.need_rep
1810    io.l2l_fwd_out.data       := Mux(s3_in.vaddr(3), s3_merged_data_frm_pipe(127, 64), s3_merged_data_frm_pipe(63, 0))
1811    io.l2l_fwd_out.dly_ld_err := s3_hw_err || // ecc delayed error
1812                                 s3_ldld_rep_inst ||
1813                                 s3_rep_frm_fetch
1814  } else {
1815    io.l2l_fwd_out.valid := false.B
1816    io.l2l_fwd_out.data := DontCare
1817    io.l2l_fwd_out.dly_ld_err := DontCare
1818  }
1819
1820  // s1
1821  io.debug_ls.s1_robIdx := s1_in.uop.robIdx.value
1822  io.debug_ls.s1_isLoadToLoadForward := s1_fire && s1_try_ptr_chasing && !s1_ptr_chasing_canceled
1823  io.debug_ls.s1_isTlbFirstMiss := s1_fire && s1_tlb_miss && s1_in.isFirstIssue
1824  // s2
1825  io.debug_ls.s2_robIdx := s2_in.uop.robIdx.value
1826  io.debug_ls.s2_isBankConflict := s2_fire && (!s2_kill && s2_bank_conflict)
1827  io.debug_ls.s2_isDcacheFirstMiss := s2_fire && io.dcache.resp.bits.miss && s2_in.isFirstIssue
1828  io.debug_ls.s2_isForwardFail := s2_fire && s2_fwd_fail
1829  // s3
1830  io.debug_ls.s3_robIdx := s3_in.uop.robIdx.value
1831  io.debug_ls.s3_isReplayFast := s3_valid && s3_fast_rep && !s3_fast_rep_canceled
1832  io.debug_ls.s3_isReplayRS :=  RegNext(io.feedback_fast.valid && !io.feedback_fast.bits.hit) || (io.feedback_slow.valid && !io.feedback_slow.bits.hit)
1833  io.debug_ls.s3_isReplaySlow := io.lsq.ldin.valid && io.lsq.ldin.bits.rep_info.need_rep
1834  io.debug_ls.s3_isReplay := s3_valid && s3_lrq_rep_info.need_rep // include fast+slow+rs replay
1835  io.debug_ls.replayCause := s3_lrq_rep_info.cause
1836  io.debug_ls.replayCnt := 1.U
1837
1838  // Topdown
1839  io.lsTopdownInfo.s1.robIdx          := s1_in.uop.robIdx.value
1840  io.lsTopdownInfo.s1.vaddr_valid     := s1_valid && s1_in.hasROBEntry
1841  io.lsTopdownInfo.s1.vaddr_bits      := s1_vaddr
1842  io.lsTopdownInfo.s2.robIdx          := s2_in.uop.robIdx.value
1843  io.lsTopdownInfo.s2.paddr_valid     := s2_fire && s2_in.hasROBEntry && !s2_in.tlbMiss
1844  io.lsTopdownInfo.s2.paddr_bits      := s2_in.paddr
1845  io.lsTopdownInfo.s2.first_real_miss := io.dcache.resp.bits.real_miss
1846  io.lsTopdownInfo.s2.cache_miss_en   := s2_fire && s2_in.hasROBEntry && !s2_in.tlbMiss && !s2_in.missDbUpdated
1847
1848  // perf cnt
1849  XSPerfAccumulate("s0_in_valid",                  io.ldin.valid)
1850  XSPerfAccumulate("s0_in_block",                  io.ldin.valid && !io.ldin.fire)
1851  XSPerfAccumulate("s0_vecin_valid",               io.vecldin.valid)
1852  XSPerfAccumulate("s0_vecin_block",               io.vecldin.valid && !io.vecldin.fire)
1853  XSPerfAccumulate("s0_in_fire_first_issue",       s0_valid && s0_sel_src.isFirstIssue)
1854  XSPerfAccumulate("s0_lsq_replay_issue",          io.replay.fire)
1855  XSPerfAccumulate("s0_lsq_replay_vecissue",       io.replay.fire && io.replay.bits.isvec)
1856  XSPerfAccumulate("s0_ldu_fire_first_issue",      io.ldin.fire && s0_sel_src.isFirstIssue)
1857  XSPerfAccumulate("s0_fast_replay_issue",         io.fast_rep_in.fire)
1858  XSPerfAccumulate("s0_fast_replay_vecissue",      io.fast_rep_in.fire && io.fast_rep_in.bits.isvec)
1859  XSPerfAccumulate("s0_stall_out",                 s0_valid && !s0_can_go)
1860  XSPerfAccumulate("s0_stall_dcache",              s0_valid && !io.dcache.req.ready)
1861  XSPerfAccumulate("s0_addr_spec_success",         s0_fire && s0_dcache_vaddr(VAddrBits-1, 12) === io.ldin.bits.src(0)(VAddrBits-1, 12))
1862  XSPerfAccumulate("s0_addr_spec_failed",          s0_fire && s0_dcache_vaddr(VAddrBits-1, 12) =/= io.ldin.bits.src(0)(VAddrBits-1, 12))
1863  XSPerfAccumulate("s0_addr_spec_success_once",    s0_fire && s0_dcache_vaddr(VAddrBits-1, 12) === io.ldin.bits.src(0)(VAddrBits-1, 12) && s0_sel_src.isFirstIssue)
1864  XSPerfAccumulate("s0_addr_spec_failed_once",     s0_fire && s0_dcache_vaddr(VAddrBits-1, 12) =/= io.ldin.bits.src(0)(VAddrBits-1, 12) && s0_sel_src.isFirstIssue)
1865  XSPerfAccumulate("s0_vec_addr_vlen_aligned",     s0_fire && s0_sel_src.isvec && s0_dcache_vaddr(3, 0) === 0.U)
1866  XSPerfAccumulate("s0_vec_addr_vlen_unaligned",   s0_fire && s0_sel_src.isvec && s0_dcache_vaddr(3, 0) =/= 0.U)
1867  XSPerfAccumulate("s0_forward_tl_d_channel",      s0_out.forward_tlDchannel)
1868  XSPerfAccumulate("s0_hardware_prefetch_fire",    s0_fire && s0_hw_prf_select)
1869  XSPerfAccumulate("s0_software_prefetch_fire",    s0_fire && s0_sel_src.prf && s0_src_select_vec(int_iss_idx))
1870  XSPerfAccumulate("s0_hardware_prefetch_blocked", io.prefetch_req.valid && !s0_hw_prf_select)
1871  XSPerfAccumulate("s0_hardware_prefetch_total",   io.prefetch_req.valid)
1872
1873  XSPerfAccumulate("s3_rollback_total",             io.rollback.valid)
1874  XSPerfAccumulate("s3_rep_frm_fetch_rollback",     io.rollback.valid && s3_rep_frm_fetch)
1875  XSPerfAccumulate("s3_flushPipe_rollback",         io.rollback.valid && s3_flushPipe)
1876  XSPerfAccumulate("s3_frm_mis_flush_rollback",     io.rollback.valid && s3_frm_mis_flush)
1877
1878  XSPerfAccumulate("s1_in_valid",                  s1_valid)
1879  XSPerfAccumulate("s1_in_fire",                   s1_fire)
1880  XSPerfAccumulate("s1_in_fire_first_issue",       s1_fire && s1_in.isFirstIssue)
1881  XSPerfAccumulate("s1_tlb_miss",                  s1_fire && s1_tlb_miss)
1882  XSPerfAccumulate("s1_tlb_miss_first_issue",      s1_fire && s1_tlb_miss && s1_in.isFirstIssue)
1883  XSPerfAccumulate("s1_stall_out",                 s1_valid && !s1_can_go)
1884  XSPerfAccumulate("s1_dly_err",                   s1_valid && s1_fast_rep_dly_err)
1885
1886  XSPerfAccumulate("s2_in_valid",                  s2_valid)
1887  XSPerfAccumulate("s2_in_fire",                   s2_fire)
1888  XSPerfAccumulate("s2_in_fire_first_issue",       s2_fire && s2_in.isFirstIssue)
1889  XSPerfAccumulate("s2_dcache_miss",               s2_fire && io.dcache.resp.bits.miss)
1890  XSPerfAccumulate("s2_dcache_miss_first_issue",   s2_fire && io.dcache.resp.bits.miss && s2_in.isFirstIssue)
1891  XSPerfAccumulate("s2_dcache_real_miss_first_issue",   s2_fire && io.dcache.resp.bits.miss && s2_in.isFirstIssue)
1892  XSPerfAccumulate("s2_full_forward",              s2_fire && s2_full_fwd)
1893  XSPerfAccumulate("s2_dcache_miss_full_forward",  s2_fire && s2_dcache_miss)
1894  XSPerfAccumulate("s2_fwd_frm_d_can",             s2_valid && s2_fwd_frm_d_chan)
1895  XSPerfAccumulate("s2_fwd_frm_d_chan_or_mshr",    s2_valid && s2_fwd_frm_d_chan_or_mshr)
1896  XSPerfAccumulate("s2_stall_out",                 s2_fire && !s2_can_go)
1897  XSPerfAccumulate("s2_prefetch",                  s2_fire && s2_prf)
1898  XSPerfAccumulate("s2_prefetch_ignored",          s2_fire && s2_prf && io.dcache.s2_mq_nack) // ignore prefetch for mshr full / miss req port conflict
1899  XSPerfAccumulate("s2_prefetch_miss",             s2_fire && s2_prf && io.dcache.resp.bits.miss) // prefetch req miss in l1
1900  XSPerfAccumulate("s2_prefetch_hit",              s2_fire && s2_prf && !io.dcache.resp.bits.miss) // prefetch req hit in l1
1901  XSPerfAccumulate("s2_prefetch_accept",           s2_fire && s2_prf && io.dcache.resp.bits.miss && !io.dcache.s2_mq_nack) // prefetch a missed line in l1, and l1 accepted it
1902  XSPerfAccumulate("s2_forward_req",               s2_fire && s2_in.forward_tlDchannel)
1903  XSPerfAccumulate("s2_successfully_forward_channel_D", s2_fire && s2_fwd_frm_d_chan && s2_fwd_data_valid)
1904  XSPerfAccumulate("s2_successfully_forward_mshr",      s2_fire && s2_fwd_frm_mshr && s2_fwd_data_valid)
1905
1906  XSPerfAccumulate("load_to_load_forward",                      s1_try_ptr_chasing && !s1_ptr_chasing_canceled)
1907  XSPerfAccumulate("load_to_load_forward_try",                  s1_try_ptr_chasing)
1908  XSPerfAccumulate("load_to_load_forward_fail",                 s1_cancel_ptr_chasing)
1909  XSPerfAccumulate("load_to_load_forward_fail_cancelled",       s1_cancel_ptr_chasing && s1_ptr_chasing_canceled)
1910  XSPerfAccumulate("load_to_load_forward_fail_wakeup_mismatch", s1_cancel_ptr_chasing && !s1_ptr_chasing_canceled && s1_not_fast_match)
1911  XSPerfAccumulate("load_to_load_forward_fail_op_not_ld",       s1_cancel_ptr_chasing && !s1_ptr_chasing_canceled && !s1_not_fast_match && s1_fu_op_type_not_ld)
1912  XSPerfAccumulate("load_to_load_forward_fail_addr_align",      s1_cancel_ptr_chasing && !s1_ptr_chasing_canceled && !s1_not_fast_match && !s1_fu_op_type_not_ld && s1_addr_misaligned)
1913  XSPerfAccumulate("load_to_load_forward_fail_set_mismatch",    s1_cancel_ptr_chasing && !s1_ptr_chasing_canceled && !s1_not_fast_match && !s1_fu_op_type_not_ld && !s1_addr_misaligned && s1_addr_mismatch)
1914
1915  XSPerfAccumulate("nc_ld_writeback", io.ldout.valid && s3_nc_with_data)
1916  XSPerfAccumulate("nc_ld_exception", s3_valid && s3_nc_with_data && s3_in.uop.exceptionVec.reduce(_ || _))
1917  XSPerfAccumulate("nc_ldld_vio", s3_valid && s3_nc_with_data && s3_ldld_rep_inst)
1918  XSPerfAccumulate("nc_stld_vio", s3_valid && s3_nc_with_data && s3_in.rep_info.nuke)
1919  XSPerfAccumulate("nc_ldld_vioNack", s3_valid && s3_nc_with_data && s3_in.rep_info.rar_nack)
1920  XSPerfAccumulate("nc_stld_vioNack", s3_valid && s3_nc_with_data && s3_in.rep_info.raw_nack)
1921  XSPerfAccumulate("nc_stld_fwd", s3_valid && s3_nc_with_data && RegNext(s2_full_fwd))
1922  XSPerfAccumulate("nc_stld_fwdNotReady", s3_valid && s3_nc_with_data && RegNext(s2_mem_amb || s2_fwd_fail))
1923  XSPerfAccumulate("nc_stld_fwdAddrMismatch", s3_valid && s3_nc_with_data && s3_vp_match_fail)
1924
1925  // bug lyq: some signals in perfEvents are no longer suitable for the current MemBlock design
1926  // hardware performance counter
1927  val perfEvents = Seq(
1928    ("load_s0_in_fire         ", s0_fire                                                        ),
1929    ("load_to_load_forward    ", s1_fire && s1_try_ptr_chasing && !s1_ptr_chasing_canceled      ),
1930    ("stall_dcache            ", s0_valid && s0_can_go && !io.dcache.req.ready                  ),
1931    ("load_s1_in_fire         ", s0_fire                                                        ),
1932    ("load_s1_tlb_miss        ", s1_fire && io.tlb.resp.bits.miss                               ),
1933    ("load_s2_in_fire         ", s1_fire                                                        ),
1934    ("load_s2_dcache_miss     ", s2_fire && io.dcache.resp.bits.miss                            ),
1935  )
1936  generatePerfEvent()
1937
1938  if (backendParams.debugEn){
1939    dontTouch(s0_src_valid_vec)
1940    dontTouch(s0_src_ready_vec)
1941    dontTouch(s0_src_select_vec)
1942    dontTouch(s3_ld_data_frm_pipe)
1943    dontTouch(s3_shift_data)
1944    s3_data_select_by_offset.map(x=> dontTouch(x))
1945    s3_data_frm_pipe.map(x=> dontTouch(x))
1946    s3_picked_data_frm_pipe.map(x=> dontTouch(x))
1947  }
1948
1949  XSDebug(io.ldout.fire, "ldout %x\n", io.ldout.bits.uop.pc)
1950  // end
1951}
1952